实验五3-8线译码器

上传人:小** 文档编号:31739936 上传时间:2021-10-12 格式:DOC 页数:3 大小:242KB
收藏 版权申诉 举报 下载
实验五3-8线译码器_第1页
第1页 / 共3页
实验五3-8线译码器_第2页
第2页 / 共3页
实验五3-8线译码器_第3页
第3页 / 共3页
资源描述:

《实验五3-8线译码器》由会员分享,可在线阅读,更多相关《实验五3-8线译码器(3页珍藏版)》请在装配图网上搜索。

1、实验五3-8线译码器一、实验目的1熟悉常用译码器的功能逻辑。2、掌握复杂译码器的设计方法。二、实验原理1总体思路以EP2C5中的三个拨位开关,SW3 SW2,SW为三个输入信号,可以代表 8种不同 的状态,该译码器对这8种状态译码,并把所译码的结果在七段 LED数码管上显示出来。2、3-8线译码器原理图如下图所示:YONAY1N日Y2NcY3NG1Y4NG2ANY5NQ20NY6HY7N741304I3T8 DECODER吋料nr;二亡二二 led?輕竺二亡土寸注曲. LED4 LED93.3-8线译码器真值表CBALEDOUTDATA OUT0000011111100000000 :0010

2、0000110000000010100101101100000010 :01101001111000001001r 0r 00110011000001000 :10101101101000100001r 1r 00111110100100000 :1110000011101000000三、实验程序实验参考代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DECODE ISPORT(DATA_IN :IN STD_LOGIC_VECTOR(2 DOWNTO 0); LEDOUT,DATA

3、_OUT :OUT STD_LOGIC_VECTOR(7 DOWNTO 0); LEDW :OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END DECODE;ARCHITECTURE ADO OF DECODE ISSIGNAL OUTA,D_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGINLEDW=000;PROCESS (DATA_IN)VARIABLE DIN: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGINDIN:=DATA_IN;LEDOUT=OUTA;DATA_OUTOUTAoutaoutaoutaou

4、taoutaoutaouta OUTA D_OUT D_OUT D_OUT D_OUT D_OUT D_OUT D_OUT D_OUT D_OUT=XXXXXXXX;END CASE;END PROCESS;END ADO;四、实验步骤1、 打开 Quartus II ,选择File ”菜单下的New Project Wizard ”,建立 Project 及顶层实体的名称为 ADO期间,选择的目标芯片为EP2C5Q208C8N2、选择“ File ”菜单下的“ NeW命令,在“ NeW窗口中选择“ VHDL Files ”,输入 程序,进行编译;3、选择“File ”菜单中的“ NeW项,在

5、“NeW窗口中选择“Other Files ”中的“Vector Waveform File ”项,打开空白的波形编辑器,输入所有的信号节点,给输入随机赋值,保存,单击工具栏上的快捷方式 舄,进行波形仿真;4、打开“ Assignments ”菜单下的“ Pins ”命令,打开引脚锁定窗口,进行引脚锁定, 再次对VHDL Files进行编译;5、连接EDA实验箱,将EP2C5适配板左下角的JTAG用十芯排线和万用下载区左下角的SOPC JTAG口连接起来,万用下载区右下角的电源开关拨到SOPC下载的一边,将JPLED1短路帽右插,JPLED的短路帽全部上插,请将JP103的短路帽全部插上。6、在Quartus II的菜单“ Tool ”中选择“ Programmer”,或直接单击工具栏上的快捷键,打开Programmer对话框,单击Start ”按钮,当Progress ”显示为100% 时,编程成功,观察实验面板,进行硬件测试验证。7、3-8线译码器的三个输入 C、BA分别对应拨位开关 SW3SW2,SW1改变SW3SW2,SW1 的位置,当结果为0时彩色LED灯熄灭,当结果为1时彩灯点亮,改变 SW1SW的 输入状态,观察实验结果。五、实验现象实验面板硬件测试结果

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!