SOPCEDA综合课程设计电子密码锁设计报告书

上传人:仙*** 文档编号:29175173 上传时间:2021-09-29 格式:DOC 页数:24 大小:2.63MB
收藏 版权申诉 举报 下载
SOPCEDA综合课程设计电子密码锁设计报告书_第1页
第1页 / 共24页
SOPCEDA综合课程设计电子密码锁设计报告书_第2页
第2页 / 共24页
SOPCEDA综合课程设计电子密码锁设计报告书_第3页
第3页 / 共24页
资源描述:

《SOPCEDA综合课程设计电子密码锁设计报告书》由会员分享,可在线阅读,更多相关《SOPCEDA综合课程设计电子密码锁设计报告书(24页珍藏版)》请在装配图网上搜索。

1、SOPC/EDA综合课程设计:电子密码锁江西理工大学应用科学学院SOPC/EDA综合课程设计报告设计题目: 电子密码锁的设计设 计 者: *学 号: 14号班 级: 电气082指导老师: *完成时间: 2011年1月14日设计报告综合测试总评格式(10)内容(40)图表(10)答辩(20)平时(20)21目录第一章 电子密码锁设计要求及内容11.1 关于电子密码锁11.2 系统设计要求及内容1第二章 系统设计方案22.1. 密码锁的组成部分22.2矩阵式键盘的工作原理32.3密码锁输入电路各主要功能模块的设计42.3.1 时序产生电路42.3.2 键盘扫描电路42.3.3 弹跳消除电路42.3

2、.4 键盘译码电路52.3.5 按键存储电路62.3.6 密码锁输入电路模块框图62.4、密码锁控制电路的设计72.4.1数字按键输入的响应控制72.4.2功能按键输入的响应控制72.4.3. 密码控制模块图72.5、密码锁显示电路的设计8第三章 密码锁的整体组装设计9第四章 各个模块VHDL源程序及其仿真波形图104.1键盘输入去抖电路的VHDL源程序(附仿真图)104.2密码锁输入电路的VHDL源程序(附仿真图)124.3密码锁控制电路的VHDL源程序(附仿真图)164.4密码锁显示电路七段译码器设计源程序(仿真图)19结束语21参考文献22第一章 电子密码锁设计要求及内容1.1 关于电子

3、密码锁随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。电子密码锁与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。其性能和安全性

4、已大大超过了机械锁,其特点如下:1保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。2密码可变。 用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。3误码输入保护。当输入密码多次错误时,报警系统自动启动。4. 电子密码锁操作简单易行,一学即会。1.2 系统设计要求及内容利用基于所学EDA以及QuartusII7.2软件相关知识设计一个具有较高安全性和较低成本的通用电子密码锁,其具体功能要求如下: (1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,同时将先前输入的数据依序左移一个数字位置。 (2)数码清除:按下此键可清除前

5、面所有的输入值,清除成为“0000”。 (3)密码更改:按下此键时会将目前的数字设定成新的密码。 (4)激活电锁:按下此键可将密码锁上锁。 (5)解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。其中所有功能自行设计。第二章 系统设计方案 2.1. 密码锁的组成部分作为通用电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。 根据以上选定的输入设备和显示器件,并考虑到实现各项数字密码锁功能的具体要求,整个电子密码锁系统的总体组成框图如图2.1所示。 (1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。 (

6、2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。(3)七段数码管显示电路主要将待显示数据的BCD码转换成数码器的七段显示驱动编码。 图2.1 数字电子密码锁系统总体框图图2.2是电子密码锁的输入电路框图,由键盘扫描电路、弹跳消除电路、键盘译码电路、按键数据缓存器,加上外接的一个34矩阵式键盘组成。 图2.2电子密码锁的输入电路框图2.2矩阵式键盘的工作原理矩阵式键盘是一种常见的输入装置,在日常的生活中,矩阵式键盘在计算机、电话、手机、微波炉等各式电子产品上已经被

7、广泛应用。图2.3是一个34矩阵式键盘的面板配置图,其中数字09作为密码数字输入按键,*作为“上锁”功能按键,#作为“解锁/清除”功能按键。 图2.3.34矩阵式键盘的面板配表2.1. 行扫描信号、列按键输入信号与按键位置的关系KY3KY0111011101110110111011101101110111011011101110111KX2KX0011101110011101110011101110011101110按键号123456789*0#2.3密码锁输入电路各主要功能模块的设计 2.3.1 时序产生电路本时序产生电路中使用了三种不同频率的工作脉冲波形:系统时钟脉冲(它是系统内部所有时钟

8、脉冲的源头,且其频率最高)、弹跳消除取样信号、键盘扫描信号。2.3.2 键盘扫描电路扫描电路的作用是用来提供键盘扫描信号(表4.1中的KY3KY0)的,扫描信号变化的顺序依次为11101101101101111110.依序地周而复始。2.3.3 弹跳消除电路由于本设计中采用的矩阵式键盘是机械开关结构,因此在开关切换的瞬间会在接触点出现信号来回弹跳的现象,对于电子密码锁这种灵敏度较高的电路这种弹跳将很可能会造成误动作输入,从而影响到密码锁操作的正确性。从图1.4中可以观察出弹跳现象产生的原因,虽然只是按下按键一次然后放掉,然而实际产生的按键信号却不止跳动一次,经过取样信号的检查后,将会造成误判断

9、,以为键盘按了两次。如果调整抽样频率(如图1.5所示),可以发现弹跳现象获得了改善。 图2.4弹跳现象产生错误的抽样结果 图2.5 调整抽样频率后得到的抽样结果弹跳消除电路的实现原理如图1.6所示,先将键盘的输入信号D_IN做为电路的输入信号,CLK是电路的时钟脉冲信号,也就是取样信号,D_IN经过两级D触发器延时后再使用RS触发器处理。 图2.6 弹跳消除电路的内部实现原理图 此处RS触发器的前端连接和非门的处理原则是: (1)因为一般人的按键速度至多是10次/秒,亦即一次按键时间是100ms,所以按下的时间可估算为50ms。以取样信号CLK的周期为8ms计,则可以取样到6次。 (2)对于不

10、稳定的噪声,在4ms以下则至多抽样一次。 (3)在触发器之前,接上AND-NOT之后,SR的组态如表1.2所示。 表2.2RS触发器真值表SRD-OUT00不变101010 2.3.4 键盘译码电路上述键盘中的按键可分为数字按键和文字按键,每一个按键可能负责不同的功能,例如清除数码、退位、激活电锁、开锁等,详细功能参见表1.3。 表2.3 键盘参数表扫描位置KY3KY0键盘输出KX2KX0对应键盘按键键盘译码输出实现按键功能11100111F=0001数码输入1012F=0010数码输入1103F=0011数码输入11010114F=0100数码输入1015F=0101数码输入1106F=01

11、10数码输入10110117F=0111数码输入1018F=1000数码输入1109F=1001数码输入0111011*T=0100激活电锁1010F=0000数码输入110#T=0001退格、解锁2.3.5 按键存储电路 因为每次扫描会产生新的按键数据,可能会覆盖前面的数据,所以需要一个按键存储电路,将整个键盘扫描完毕后的结果记录下来。按键存储电路可以使用移位寄存器构成。2.3.6 密码锁输入电路模块框图 输入电路引脚图如下图所示,图中CLK_1K为系统原始时钟脉冲(1 kHz)KEY_IN为键盘按键输入,CLK_SCAN为键盘扫描序列输出,DATA_N:数字输出功能,DATA_F:功能输出

12、,FLAG_N为数字输出标志,FLAG_F为功能输出(上锁及开锁)标志,CLK_CTR是控制电路工作时钟信号,CLK_DEBOUNCE是去抖电路工作时钟信号,大约125 Hz 。 图2.7. 密码输入模块框图2.4、密码锁控制电路的设计密码锁的控制电路是整个电路的控制中心,主要完成对数字按键输入和功能按键输入的响应控制。2.4.1数字按键输入的响应控制1)如果按下数字键,第一个数字会从显示器的最右端开始显示,此后每新按一个数字时,显示器上的数字必须左移一格,以便将新的数字显示出来。 2)假如要更改输入的数字,可以按倒退按键来清除前一个输入的数字,或者按清除键清除所有输入的数字,再重新输入四位数

13、。 3)由于这里设计的是一个四位的电子密码锁,所以当输入的数字键超过四个时,电路不予理会,而且不再显示第四个以后的数字。2.4.2功能按键输入的响应控制控制功能如下:1)清除键:清除所有的输入数字,即做归零动作。 2)激活电锁键:按下此键时可将密码锁的门上锁。(上锁前必须预先设定一个四位的数字密码。 3)解除电锁键:按下此键会检查输入的密码是否正确,若密码正确无误则开门。 上锁工作模式:(输入密码),密码核对,改变密码,存储密码以及激活密码锁。开锁工作模式:(输入密码),密码核对、开锁。输入模式输入4位数字退格及清除 图2.8电子密码锁的三种模式及关系 2.4.3. 密码控制模块图模块引脚如下

14、图所示:图中DATA_N3.0:4位行输入.DATA_N3.0:为4位列扫描输出,FLAG_N和FLAG_F则对应ENLOCK实现清除/上锁功能,CLK为全局时钟信号,DATA_BCD15.0为输出16位BCD码,经译码器后转换为4位密码输出。 图2.9.密码控制模块图 2.5、密码锁显示电路的设计密码锁显示电路的设计比较简单,这里直接采用四个4-7译码器来实现。BCD-七段显示译码器(74LS48)1)输入:8421BCD码,用A3 A2 A1 A0表示(4位)。 2)输出:七段显示,用Ya Yg 表示(7位) 图中A3.0为按键输入在经过去抖电路后的的BCD码的高4位输入数值,经过4-7译

15、码器译码后输出09之间的数值,因为输入为16位的BCD码,而每一个译码器仅4位输入,故一共需要4个译码器来实现密码锁显示电路的设计。译码器引脚如下图所示: 图2.10. 七段译码器输入输出引脚图 第三章 密码锁的整体组装设计将前面各个设计好的功能模块进行整合,可得到一个完整的电子密码锁系统的整体组装设计原理图,如图1.8所示 图3.1密码锁的整体组装设计原理如上图所示为系统设计原理图,图中CLK为输入信号系统原始时钟脉冲(1 kHz),KEY_IN2.0为按键输入信号,即当有键按下时时钟脉冲信号检测到有信号输入,KEYBOARD模块对输入信号进行键盘扫描处理,判断按下的是数字键还是功能键,每次

16、的输入数值都会通过七段译码器显示结果,最后在核定密码真确后则开锁。第四章 各个模块VHDL源程序及其仿真波形图4.1键盘输入去抖电路的VHDL源程序(附仿真图)-DEBOUNCING.VHDLIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; LIBRARY ALTERA; USE ALTERA.MAXPLUS2.ALL;ENTITY DEBOUNCING ISPORT(D_IN, CLK: IN STD_LOGIC; DD1, DD0, QQ1, QQ0 : OUT STD_LOGIC; D_OUT, D_OUT1: OUT STD_LOGIC ); END

17、ENTITY DEBOUNCING ; ARCHITECTURE ART OF DEBOUNCING ISCOMPONENT DCFQ ISPORT(CLK, CLRN, PRN, D: IN STD_LOGIC; Q: OUT STD_LOGIC); END COMPONENT DCFQ; SIGNAL VCC, INV_D : STD_LOGIC ; SIGNAL Q0, Q1 : STD_LOGIC ; SIGNAL D1, D0 : STD_LOGIC ; BEGINVCC = 1 ; INV_D CLK, CLRN = INV_D, PRN = VCC, D =VCC , Q = Q

18、0); U2: DCFQ PORT MAP (CLK = CLK, CLRN = Q0, PRN = VCC, D =VCC , Q = Q1); PROCESS (CLK)BEGINIF CLKEVENT AND CLK=1 THEND0 = NOT Q1; D1 = D0; END IF ;END PROCESS ; DD0 = D0; DD1 = D1; QQ1 = Q1; QQ0 = Q0; D_OUT = NOT (D1 AND NOT D0); D_OUT1 = NOT Q1 ; END ARCHITECTURE ART; -DCFQ.VHDLIBRARY IEEE; USE IE

19、EE.STD_LOGIC_1164.ALL; ENTITY DCFQ ISPORT(CLK, CLRN, PRN, D: IN STD_LOGIC; Q: OUT STD_LOGIC);END ENTITY DCFQ ; ARCHITECTURE ART OF DCFQ ISBEGINPROCESS (CLK, CLRN, PRN)BEGINIF CLRN=0 AND PRN=1 THENQ=0; ELSIF CLRN=1 AND PRN=0 THENQ=1; ELSIF CLKEVENT AND CLK=1 THENQ =D; END IF ; END PROCESS ; END ARCHI

20、TECTURE ART; 对上述去抖电路源程序用quartus7.2进行时序仿真,得到仿真图如下:图中输出信号QQ0,QQ1,D_OUT1,DD0,DD1是为便于仿真时观察中间结果而增加的观测点的输出,可以在程序中去掉,CLK为时钟脉冲信号,当检测到有键按下时,即D_IN为高电平时,对按键进行去抖,可以消除在开关切换的瞬间会在接触点出现信号来回弹跳的现象。 图4.1 键盘输入去抖电路DEBOUNCING.VHD的仿真结果图4.2密码锁输入电路的VHDL源程序(附仿真图)-KEYBOARD.VHDLIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEE

21、E.STD_LOGIC_ARITH.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY KEYBOARD ISPORT (CLK_1K: IN STD_LOGIC ; -系统原始时钟脉冲(1 kHz)KEY_IN: IN STD_LOGIC_VECTOR (2 DOWNTO 0); -按键输入CLK_SCAN: OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ; -( 仿真时用)键盘扫描序列DATA_N: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ; -数字输出DATA_F: OUT STD_LOGIC_VE

22、CTOR(3 DOWNTO 0) ; -功能输出FLAG_N: OUT STD_LOGIC ; -数字输出标志FLAG_F: OUT STD_LOGIC ; -功能输出标志CLK_CTR: OUT STD_LOGIC; -控制电路工作时钟信号CLK_DEBOUNCE: OUT STD_LOGIC -(仿真时用)去抖电路工作时钟信号); END ENTITY KEYBOARD ; ARCHITECTURE ART OF KEYBOARD ISCOMPONENT DEBOUNCING ISPORT(D_IN: IN STD_LOGIC ; CLK: IN STD_LOGIC ; D_OUT: OU

23、T STD_LOGIC ) ; END COMPONENT DEBOUNCING; SIGNAL CLK: STD_LOGIC ; -电路工作时钟脉冲SIGNAL C_KEYBOARD: STD_LOGIC_VECTOR(1 DOWNTO 0); -键扫信号“00-01-10-11”寄存器SIGNAL C_DEBOUNCE: STD_LOGIC ; -去抖时钟信号SIGNAL C: STD_LOGIC_VECTOR(2 DOWNTO 0) ; -键盘输入去抖后的寄存器SIGNAL N , F: STD_LOGIC_VECTOR(3 DOWNTO 0) ; -数字、功能按键译码值的寄存器SIGN

24、AL FN , FF: STD_LOGIC ; -数字、功能按键标志值数字、功能按键SIGNAL SEL: STD_LOGIC_VECTOR (3 DOWNTO 0) ; BEGIN-内部连接DATA_N = N ; DATA_F = F ; FLAG_N = FN ; FLAG_F = FF ; CLK_CTR = CLK ; -扫描信号发生器 COUNTER : BLOCK ISSIGNAL Q: STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL SEL: STD_LOGIC_VECTOR (3 DOWNTO 0); -1110-1101-1011-0111 BEG

25、INPROCESS (CLK_1K) IS BEGINIF CLK_1KEVENT AND CLK_1K =1 THENQ = Q+1; END IF; C_DEBOUNCE = Q(2) ; -去抖时钟信号, 大约125 Hz C_KEYBOARD = Q(2 DOWNTO 1) ; - 产生键扫信号*“00-01-10-11”, 大约16 Hz-C_DEBOUNCE = Q(1) ; -仿真时用 -C_KEYBOARD = Q(5 DOWNTO 4) ; -仿真时用CLK = Q(0) ; END PROCESS; CLK_DEBOUNCE=C_DEBOUNCE; SEL = 1110 W

26、HEN C_KEYBOARD=0 ELSE1101 WHEN C_KEYBOARD=1 ELSE1011 WHEN C_KEYBOARD=2 ELSE0111 WHEN C_KEYBOARD=3 ELSE1111; CLK_SCAN KEY_IN(0) , D_OUT = C(0), CLK = C_DEBOUNCE); U2: DEBOUNCING PORT MAP (D_IN = KEY_IN(1) , D_OUT = C(1), CLK = C_DEBOUNCE); U3: DEBOUNCING PORT MAP (D_IN = KEY_IN(2) , D_OUT = C(2), CLK

27、 = C_DEBOUNCE ); END BLOCK DEBOUNUING ; -键盘译码KEY_DECODER : BLOCK SIGNAL Z : STD_LOGIC_VECTOR(4 DOWNTO 0) ; -按键位置BEGINPROCESS(CLK)BEGINZ N N N N N N N N N N N F F F = 1000 ; END CASE ; END IF ; END PROCESS ; FN = NOT ( N(3) AND N(2) AND N(1) AND N(0) ) ; FF = F(2) OR F(0) ; END BLOCK KEY_DECODER ; EN

28、D ARCHITECTURE ART;说明 1、键盘译码电路除了负责将键盘送出的数据进行译码外,另外就是在译码的同时,必须判别所按下的是数字键还是功能键。2、若为数字键,则flag_numb=1,且out_num 输出BCD 码,并送往显示电路。3、若为功能键,则flag_func=1,且out_func 输出4 位码,并送往电锁控制电路。4、对密码锁输入模块仿真如下图:图中的输出信号CLK_SCAN,C_DEBOUNCE是为便于仿真时观察中间结果而增加的观测点的输出,FLAG_N为数字输出标志,当输入为数字时为高电平,DATA_F为功能输出(4位),FLAG_F为功能输出标志当按键输入为退格

29、键或确认键时为高电平输出,DATA_N为数字输出,即在数字输出标志为高电平时确认输出数值。 图4.2输入电路仿真结果图 4.3密码锁控制电路的VHDL源程序(附仿真图)-CTRL.VHDLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCTRLISPORT(DATA_N:INSTD_LOGIC_VECTOR(3DOWNTO0);DATA_F:INSTD_LOGIC_VECTOR(3DOWNTO0);FLAG_N:INSTD_LOGIC;F

30、LAG_F:INSTD_LOGIC;CLK:INSTD_LOGIC;ENLOCK:OUTSTD_LOGIC;-1:LOCK,0:UNLOCKDATA_BCD:OUTSTD_LOGIC_VECTOR(15DOWNTO0);ENDENTITYCTRL;ARCHITECTUREARTOFCTRLISSIGNALACC,REG:STD_LOGIC_VECTOR(15DOWNTO0);-ACC用于暂存键盘输入的信息,REG用于存储输入的密码SIGNALNC:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALRR2,CLR,BB,QA,QB:STD_LOGIC;SIGNALR1,R0:ST

31、D_LOGIC;BEGIN-寄存器清零信号的产生进程PROCESS(CLK)BEGINIFCLKEVENTANDCLK=1THENR1=R0;R0=FLAG_F;ENDIF;RR2=R1ANDNOTR0;CLR=RR2;ENDPROCESS;-按键输入数据的存储、清零进程KEYIN_PROCESS:BLOCKISSIGNALRST,D0,D1:STD_LOGIC; BEGINRST=RR2;PROCESS(FLAG_N,RST)ISBEGINIFRST=1THENACC=0000000000000000;-CLEARINPUTNC=000;ELSEIFFLAG_NEVENTANDFLAG_N=

32、1THENIFNC4THENACC=ACC(11DOWNTO0)&DATA_N;NC=NC+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDBLOCKKEYIN_PROCESS;-上锁/开锁控制进程LOCK_PROCESS:BLOCKISBEGINPROCESS(CLK,DATA_F)ISBEGINIF(CLKEVENTANDCLK=1)THENIFNC=4THENIFDATA_F(2)=1THEN -上锁控制信号有效REG=ACC; -密码存储QA=1;QB=0;ELSIFDATA_F(0)=1THEN -开锁控制信号有效IFREG=ACCTHEN -密码核对QA=0;Q

33、B=1;ENDIF;ELSIFACC=1000100010001000THEN-设置“8888”为万用密码QA=0;QB=1;ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDBLOCKLOCK_PROCESS;-输出上锁/开锁控制信号ENLOCK=QAANDNOTQB;-输出显示信息DATA_BCD LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S NULL ;END CASE ;END PROCESS ;END ; 对七段数码显示译码器

34、源程序仿真如下图,执行功能如下:将输入的BCD码的高4位进行转换,如图中输入为1011时,输出7C;输入为1000时,输出为7F。 图4.4 七段数码显示译码器仿真波形结束语开始看到这个课程设计的题目真是没一点头绪,不知道从何下手。然后是到网上找了好久的资料,又去了几趟图书馆借了点相关方面的书籍才稍微有点整体思路。通过这三周的学习,我感觉有很大的收获:首先,通过学习使自己对课本上的知识可以应用于实际,使的理论与实际相结合,加深自己对课本知识的更好理解,同时实习也段练了我个人的动手能力:能够充分利用图书馆去查阅资料,增加了许多课本以外的知识。能对DXP2004、QuartusII7.2 等仿真软

35、件操作能达到学以致用。实习中首先要感谢的就是我们的指导老师王老师了,感谢王老师为我们所做了一切,其次就是要感谢我的搭档这些日子,他们和我一块努力奋斗共进共退,相互鼓励相互扶持,互通有无,及时沟通,以免出现论文撞车事件。另外,我还要感谢那些曾给我授过课的每一位老师,是你们教会我专业知识.,教会我们如何运用所学知识。参考文献1李连华 基于FPGA的电子密码锁设计。中国科技信息,2006,(01):642许琦 基于FPGA的电子密码锁的设计。科技信息,2006,(10):240-2413谭会生,瞿隧春 EDA技术综合应用实例与分析。西安:西安电子科技大学出版社,2004.1-42,64-854 5 李广军,孟宪元.可编程ASIC设计及应用. 电子科技大学出版社.2003 6 eda技术综合应用实例与分析课件(谭会生)。西安电子科技大学出版社. http:/baidu- 7 高有堂,乔建良,徐源,田思等,EDA技术及应用实践M,北京.清华大学出版社,2006. 8 潘松,王国栋,VHDL实用教程M,电子科技大学出版社,2001.

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!