QuartusII操作过程图解

上传人:缘*** 文档编号:27069791 上传时间:2021-08-16 格式:DOC 页数:23 大小:1.22MB
收藏 版权申诉 举报 下载
QuartusII操作过程图解_第1页
第1页 / 共23页
QuartusII操作过程图解_第2页
第2页 / 共23页
QuartusII操作过程图解_第3页
第3页 / 共23页
资源描述:

《QuartusII操作过程图解》由会员分享,可在线阅读,更多相关《QuartusII操作过程图解(23页珍藏版)》请在装配图网上搜索。

1、基于Quartus II 9.0 的数字电路设计操作过程图解一Quartus II 9.0 启动u 方法一、直接双击桌面上的图标 ,可以打开Quartus II 9.0 软件;u 方法二、执行:【开始】【程序】【Altera】【Quartus II 9.0】【Quartus II 9.0 TalkBack Install】菜单命令,可以打开软件。u 启动软件后,若你的电脑没有连接到Internet互联网,会出现如下图所示的提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。点击确定继续,因为这不影响软件的正常使用。u 若你的电脑已经正常连接到Internet互联网,则在打开软件

2、时就不会出现以上的提示,并且可以通过软件界面右下方的两个图标: ,直接连接到Altera公司的官方网站,以便获取更多的信息和资源。二Quartus II 9.0软件界面Quartus II 9.0软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。三Quartus II 9.0软件使用1. 新建项目工程使用QuartusII9.0设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。因软件在完成整个设计、编译、仿

3、真和下载等这些工作过程中,会有很多相关的文件产生,为了便于管理这些设计文件,我们在设计电路之前,先要建立一个项目工程(New Project),并设置好这个工程能正常工作的相关条件和环境。建立工程的方法和步骤如下:(1)先建一个文件夹。就在电脑本地硬盘找个地方建一个用于保存下一步工作中要产生的工程项目的文件夹,注意:文件夹的命名及其保存的路径中不能有中文字符。(2)再开始建立新项目工程,方法如右图点击:【File】菜单,选择下拉列表中的【New Project Wizard.】命令,打开建立新项目工程的向导对话框。如下图,出现第个对话框,让你选择项目工程保存位置、定义项目工程名称以及设计文件顶

4、层实体名称。方法如下:第一栏选择项目工程保存的位置,方法是点击 按钮,选择到刚才我们在第一步建立的文件夹。如右图,第二栏(项目工程名称)和第三栏(设计实体名称)软件会默认为与之前建立的文件夹名称一致。没有特别需要,我们一般选择软件的默认,不必特意去修改。需要注意的是:以上名称的命名中不能出现中文字符,否则软件的后续工作会出错。完成以上命名工作后,点击Next,进入下一步。如下图对话框:这第步的工作是让你将之前已经设计好的工程文件添加到本项目工程里来,我们之前若没有设计好的文件,就甭理他,跳过这一步,直接点Next,再进入下一步。如下图对话框:这第步的工作是让你选择好设计文件下载所需要的可编程芯

5、片的型号,现在我们只做简单的电路设计和仿真,随便指定一个就可以了。以后我们做课程设计或学习可编程逻辑器件这门课后,等熟悉了CPLD或FPGA器件以后再根据开发板的器件选择合适的器件型号。点击Next,进入下一步。如下图对话框: 这第步是让你选择第三方开发工具,我不需要,直接点击Next,进入下一步。出现下图页面: 以上页面显示刚才我们所做的项目工程设置内容的“报告”。点击Finish,完成新建项目工程的任务。(到此我们一个新的项目工程已经建立起来,但真正的电路设计工作还没开始。由于QuartusII软件的应用都是基于一个项目工程来做的,因此无论设计一个简单电路还是很复杂的电路都必须先完成以上步

6、骤,建立一个后缀为.qpf的Project File。)2. 新建设计文件建立好一个新的项目工程后,接下来可以开始建立设计文件了。QuartusII9.0软件可以用两种方法来建立设计文件,一种是利用软件自带的元器件库,以编辑电路原理图的方式来设计一个数字逻辑电路,另一种方法是应用硬件描述语言(如VHDL或Verilog)以编写源程序的方法来设计一个数字电路。作为初学者,我们先学会用编辑原理图的方法来设计一些简单的数字逻辑电路。原理图设计方法和步骤如下:(1)选择用原理图方式来设计电路如右图,从File菜单中选择【New】命令,或直接点击常用工具栏的第一个按钮 ,打开新建设计文件对话框,如下图。

7、选择【Block Diagram/Schematic File】,点击OK,即进入原理图编辑界面。 (2)编辑原理图 QuartusII9.0软件的数字逻辑电路原理图的设计是基于常用的数字集成电路的,要熟练掌握原理图设计,必须要认识和熟悉各种逻辑电路的符号、逻辑名称和集成电路型号。因此努力学好数字电子技术基础是后续学习其他专业知识、掌握电路设计的基本条件。 下面我们举例用原理图设计方法设计一个“三输入表决器”电路。电路的逻辑功能是:三人表决,以少数服从多数为原则,多数人同意则议案通过,否则议案被否决。这里,我们使用三个按键代表三个参与表决的人,置“0”表示该人不同意议案,置“1”表示该人同意议

8、案;两个指示灯用来表示表决结果,LED1 点亮表示议案通过,LED2 点亮表示议案被否决。真值表如下:S1S2S3LED1LED20000100101010010111010001101101101011110设计方法和步骤如下:1)双击原理图的任一空白处,会弹出一个元件对话框。在 Name 栏目中输入 and2 ,我们就得到一个2输入的与门。2)点击 OK 按钮,将其放到原理图的适当位置。重复操作,放入另外两个2输入与门。也可以通过右键菜单的 Copy 命令复制得到。3)双击原理图的空白处,打开元件对话框。在 Name 栏目中输入 or3 ,我们将得到一个3输入的或门。点击 OK 按钮,将其

9、放入原理图。4) 双击原理图的空白处,打开元件对话框。在 Name 栏目中输入 not ,我们会得到一个非门。点击 OK 按钮,将其放入原理图。5)把所用的元件都放好之后,开始连接电路。将鼠标指到元件的引脚上,鼠标会变成“十”字形状。按下左键,拖动鼠标,就会有导线引出。根据我们要实现的逻辑,连好各元件的引脚。6)双击原理图的空白处,打开元件对话框。在 Name 栏目中输入 Input , 我们便得到一个输入引脚。点击 OK 按钮,放入原理图。重复操作,给我们的电路加上3个输入引脚。7)双击输入引脚,会弹出一个属性对话框。在这一对话框上,我们可更改引脚的名字。我们分别给3个输入引脚取名 in1

10、、in2 、in3 。8)双击原理图的空白处,打开元件对话框。在 Name 栏目中输入 output ,我们会得到一个输出引脚。点击 OK 按钮,放入原理图。重复操作,给我们的电路加上两个输出引脚。给两个输出引脚分别命名为 led1 、led2 。(到这里我们要设计的一个“三输入表决器”的电路原理图已经完成,接下来要做的工作是对设计好的原理图进行项目工程编译和电路功能仿真。)3. 项目工程编译 设计好的电路若要让软件能认识并检查设计的电路是否有错误,需要进行项目工程编译,QuartusII9.0软件能自动对我们设计的电路进行编译和检查设计的正确性。方法如下:在 【Processing 】菜单下

11、,点击【Start Compilation】命令,或直接点击常用工具栏上的 按钮,开始编译我们的项目。编译成功后,点击 确定 按钮。4. 功能仿真仿真是指利用QuartusII软件对我们设计的电路的逻辑功能进行验证,看看在电路的各输入端加上一组电平信号后,其输出端是否有正确的电平信号输出。因此在进行仿真之前,我们需要先建立一个输入信号波形文件。方法和步骤如下:1)在【File】菜单下,点击【New】命令。在随后弹出的对话框中,切换到【Other Files】页。选中【Vector Waveform File】选项,点击 OK 按钮。2)在【Edit】菜单下,点击【Insert Node or

12、Bus】命令,或在下图Name列表栏下方的空白处双击鼠标左键,打开编辑输入、输出引脚对话框。3)在上图新打开的对话框中点击【Node Finder】按钮,打开【Node Finder】对话框。点击【List】按钮,列出电路所有的端子。点击 按钮,全部加入。点击 OK 按钮,确认。点击OK回到 Insert Node or Bus 对话框,再点击 OK 按钮,确认。4 )选中 in1 信号,在 Edit 菜单下,选择【Value = Clock】命令。或直接点击左侧工具栏上的按钮。在随后弹出的对话框的 Period 栏目中设定参数为50ns,点击 OK 按钮。5)in2 、in3 也用同样的方法

13、进行设置,Period 参数分别为 20ns 和 40ns 。QuartusII软件集成了电路仿真模块,电路有两种模式:时序仿真和功能仿真,时序仿真模式按芯片实际工作方式来模拟,考虑了元器件工作时的延时情况,而功能仿真只是对设计的电路其逻辑功能是否正确进行模拟仿真。在验证我们 设计的电路是否正确时,常选择“功能仿真”模式。6)将软件的仿真模式修改为“功能仿真”模式,操作方法如下图所示:7)选择好“功能仿真”模式后,需要生成一个“功能仿真的网表文件”,方法是如右 图,选择【Processing】菜单,点击【Generate Functional Simulation Netlist】命令。软件运

14、行完成后,点击确定。8)开始功能仿真,在【Processing】菜单下,选择【Start Simulation】启动仿真工具,或直接点击常用工具栏上的按钮。仿真结束后,点击确认按钮。观察仿真结果,对比输入与输出之间的逻辑关系是否符合电路的逻辑功能。( 到这里为止,我们基于QuartusII9.0软件的数字电路设计与仿真工作已经完成,但我们设计的电路最终还要应用可编程逻辑器件来工作,去实现我们设计的目的。因此接下来,我们还要把设计文件下载到芯片中,使设计工作赋予实际。)5、下载验证要将设计文件下载到硬件芯片中,我们事先一定要准备好一块装有可编程逻辑器件的实验板(或开发板)和一个USB下载工具,如

15、下图我们自行开发设计的EDA-1数字电子技术实验板。由于不同的可编程逻辑器件的型号及其芯片的引脚编号是不一样的,因此在下载之前,我们先要对设计好的数字电路的输入、输出端根据芯片的引脚编号进行配置。1) 检查项目工程支持的硬件型号在开始引脚配置之前,先检查一下我们在开始建立项目工程时所指定的可编程逻辑器件的型号与实验板上的芯片型号是否一致,假如不一致,要进行修改,否则无法下载到实验板的可编程逻辑器件中。修改的方法如下:点击常用工具栏上的 按钮,打开项目工程设置对话框,如下图:如上图方法,选好芯片型号后,点击OK,即修改完成。修改完硬件型号后,最好重新对项目工程再编译一次,以方便后面配置引脚。编译

16、的方法与上面所叙一样,简单来说,只要再点击一下常用工具栏上的 按钮,编译完成后,点击【确定】即可。2) 给设计好的原理图配置芯片引脚配置芯片引脚就是将原理图的输入端指定到实验板上可编程芯片与按钮相连的引脚编号,将输出端指定到实验板上可编程芯片与LED发光二极管相连的引脚编号。方法如下:点击常用工具栏上的 按钮,打开芯片引脚设置对话框,如下图:这里需要给大家明确的是不同公司开发的实验板结构不同,采用的可编程芯片型号也会不同,因此芯片引脚与外部其它电子元件连接的规律是不一样的。为此实验板的开发者会提供一个可编程芯片(CPLD或FPGA)引脚分布及外接元件的引脚编号资料。我们开发的这款实验板的可编程

17、芯片的型号是Altera公司生厂的CycloneII系列的EP2C5T144C8。芯片的引脚分配列表如下:信号名符 号FPGA引脚号信号名符 号FPGA引脚号信号名符 号FPGA引脚号7SLEDAAA0PIN103电平开关SWSW0PIN70J4扩 展口J4-3PIN 24AA1PIN104SW1PIN69J4-4PIN25AA2PIN 112SW2PIN 67J4-5PIN28AA3PIN113SW3PIN65J4-6PIN30AA4PIN 114SW4PIN64J4-7PIN 31AA5PIN 115SW5PIN 63J4-8PIN 32AA6PIN118SW6PIN21J4-9PIN 4

18、07SLEDBBB0PIN 119SW7PIN22J4-10PIN 41BB1PIN 120LED发光二极管LEDG0PIN86J4-11PIN42BB2PIN 121LEDG1PIN79J4-12PIN 43BB3PIN 122LEDG2PIN 76J4-13PIN44BB4PIN125LEDG3PIN75J4-14PIN 45BB5PIN126LEDR0PIN74J4-15PIN47BB6PIN 129LEDR1PIN73J4-16PIN487SLEDCCC0PIN132LEDR2PIN72J4-17PIN51CC1PIN133LEDR3PIN71J4-18PIN52CC2PIN134高速

19、D/A转换器信号DACCLKPIN101J4-19PIN53CC3PIN135DACD7PIN100J4-20PIN 557SLEDDDD0PIN136DACD6PIN99J4-21PIN 57DD1PIN137DACD5PIN97J4-22PIN58DD2PIN 139DACD4PIN 96J4-23PIN59DD3PIN141DACD3PIN94J4-24PIN607SLEDEEE0PIN142DACD2PIN 93频率计时钟CLK1PIN89EE1PIN 143DACD1PIN 92CLKINPIN88EE2PIN144DACD0PIN87外部时钟CLK0PIN 17EE3PIN3按键K

20、EY0PIN917SLEDFFF0PIN 4KEY1PIN 90FF1PIN7FF2PIN8FF3PIN 9根据以上列表,我们选用实验板上的电平开关SW0、SW1和SW2作为“三输入表决器”的三个输入信号,输出端选用LEDG0和LEDG1,这样我们需要应用的芯片引脚号分别是PIN70、PIN69、PIN67和PIN86、PIN79。通过QuartusII软件配置好的引脚图如下:配置好引脚以后,再编译一次,得到的电路原理图如下:3) 连接实验板,下载设计文件完成以上工作之后,我们现在就可以进行下载了。软件下载之前先将实验板接通电源,并通过Altera USBBlaster下载器将实验板的JTAG

21、接口连接到计算机。一般情况下,计算机会自动搜索和安装USB下载器的驱动程序。等驱动安装完成后,点击QuartusII软件常用工具栏上的按钮,打开下载界面,按下图所示设置好相关内容,点击Start按钮即可完成下载。(到此我们的设计工作可以说全部结束了,接下来的工作就是在实验板上的验证和测试,如果发现设计有误,那就只好重新修改设计文件,并重新下载了。)另外需要说明一下,通过JTAG模式下载的文件是不能保存到实验板上的,实验板断电后就不能再工作了。若要将设计文件永久保存在实验板上,则需要通过实验板上的AS接口,以Active Serial模式将后缀名为.pof文件下载并保存到可编程芯片中,这样实验板

22、断电后,设计文件是不会丢失的。四Quartus II 9.0安装说明1、将QuartusII_9.0安装程序下载或拷贝到自己电脑的本地磁盘,打开文件夹,双击Setup.exe文件,开始安装,根据软件安装的向导一步一步设置好安装目录和用户名、公司名称等,软件便能自己安装到你指定的目录下。安装界面如下图:2、等软件安装完成后,开始破解。点击运行Quartus_II_9.0_b151破解器.exe文件,出现如下对话框,点击【浏览】按钮,将路径选择到软件安装目录:altera72quartusbin下的Bin文件夹,找到sys_cpt.dll文件,选中sys_cpt.dll后再点击“应用”。(很多用户

23、上来就点击“应用”,实际上并没有破解这个软件)。破解操作界面如下图:3、创建软件许可文件,首先将license.DAT文件拷贝到安装目录:altera72下。启动QuartusII_9.0,出现如下对话框,选择最后一项,点击OK。接着出现如下图对话框,可以获得本地电脑的网卡号码,假如,软件启动后没有跳出如下对话框,可以在Quartus II 9.0的【Tools】菜单下选择【License Setup】打开下图对话框,在NIC ID一栏后面显示的就是你的电脑网卡号。用记事本打开此文件夹中的license.dat文件,找到3个HOSTID=后面的“xxxxxxxxxxxx” 用你电脑的网卡号全部替换,并保存好。(注意:license文件存放的路径名称中不能包含汉字和空格,空格可以用下划线代替。)如下图所示,将修改过网卡号并保存好的License.DAT文件导入,然后点击OK。破解完后,再启动QuartusII 9.0,软件就能正常使用了。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!