VGA显示器彩色信号发生器电路

上传人:文*** 文档编号:24511704 上传时间:2021-07-01 格式:DOC 页数:14 大小:719KB
收藏 版权申诉 举报 下载
VGA显示器彩色信号发生器电路_第1页
第1页 / 共14页
VGA显示器彩色信号发生器电路_第2页
第2页 / 共14页
VGA显示器彩色信号发生器电路_第3页
第3页 / 共14页
资源描述:

《VGA显示器彩色信号发生器电路》由会员分享,可在线阅读,更多相关《VGA显示器彩色信号发生器电路(14页珍藏版)》请在装配图网上搜索。

1、EDA课程设计报告VGA显示器彩色信号发生器电路班级:08通信A班 姓名:崔 儒 学号: 0815231013 指导老师: 凌朝东 摘要:VGA(Video Graphic Array)接口是与显示器进行通信的唯一接口。通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最后可以实现对VGA显示器的控制。本设计与传统的图像显示方法不同,为节省储存空间在设计中采用R、G、B三种基色利用FPGA芯片和EDA设计方法,可以显示8种颜色,设计出针对性强的VGA显示控制器,而且不需要依靠计算机,既能够大大降低成本,又可以满足生产实践中不断变化的需要,同时产品的升级换代也方便迅速

2、。本设计采用Altera公司的EDA软件0uartus II,并以Cylone系列FPGA器件为硬件平台进行系统设计。关键词:VGA;FPGA:VHDL;Ouartus II目录1、系统设计.42、单元电路设计63、软件设计84、系统测试.115、小结126、参考文献127、附录.13一、 系统设计1、设计要求:VGA显示器彩色信号发生器电路,能在VGA显示器上显示8种颜色的图形(条型、方块型)。 2、系统设计方案:方案一:采用传统的图像显示方法,将图像数据传回电脑在传输过程中通过CPU对图像数据信号进行控制,通过显示器显示出来。方案二:采用FPGA和EDA的设计方法,通过FPGA器件控制RG

3、B信号、行同步信号、场同步信号等信号,并参照有关标准,最终可以实现对VGA的控制。方案一为传统的图像显示方法,在传输过程中需要CPU不断对图像数据信号进行控制,这样就造成了CPU的浪费,同时系统还需要依赖电脑,降低了系统的灵活性。方案二是利用可编程器件FPGA和EDA的设计方法,可以根据设计的需要设计出针对性比较强的VGA显示器,而且不需要依靠计算机,大大降低了成本提高了系统的灵活性。综合上诉分析本设计中采用方案二。3、系统的工作原理:计算机显示器的显示有许多接口标准,常见的有VGA、SVGA等。VGA接口,也叫D-Sub接口,是15针的梯形插头,分成3排,每排5个,传输模拟信号。VGA接口采

4、用非对称分布的15针连接方式,其工作原理是:将显存内以数字格式存储的图像(帧)信号在RAMDAC里经过模拟调制成模拟高频信号,然后再输出到显示设备成像。VGA支持在640 X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320240分辨率下可以同时显示256种颜色。常见的彩色显示器一般由CRT(阴极射线管)够成,彩色是有R、G、B(红:Red,绿:Green,蓝:Blue)三基色组成,用逐行扫描的方式解决图像显示。阴极射线枪发出的电子束打在涂有荧光粉的屏幕上,产生R、G、B三基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的

5、左边下一行的起始位置,在这期间对电子束进行行消隐,每行结束时,用行同步信号进行行同步,扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。在本设计中采用普通的VGA显示器,一共引出5条信号线:R、G、B:三基色信号;HS:行同步信号;VS:场同步信号。在设计过程中严格按照VGA的工业标准要求进行设计,其中时钟频率为25.175MHZ,行频为31469HZ,场频为59.94HZ。4、实现电路:VGA显示器二、单元电路设计1、时序信号的产生图片要想在显示器上显示出来,它主要需要5个信号,行同步信号HS(Hor i ZontalSync)、场同步信

6、号VS(VerticalSync)和RGB三基色信号。VGA时序控制模块是整个显示控制器的关键部分,最终输出的行、场同步信号必须严格按照VGA时序标准产生相应的脉冲信号。图1和图2所示为行扫描和场扫描的时序图。图1行扫描时序示意图图2场扫描时序示意图对此5个信号的时序驱动,VGA显示器也要严格遵守“VGA-I-业标准”即640480 X 60Hz模式,否则会损害V6A显示器。行、场扫描时序要求如表1和表2所示。表1 行扫描时序要求:(单位:像素即输出一个像素PixeI的时间间隔)表2 场扫描时序要求:(单位:行即每输出一行line的时间间隔)VGA的工业标准显示模式要求行同步和场同步都为负极性

7、,即同步头脉冲要求是负脉冲。对于一些VGA显示器,HS和VS的极性可正可负,显示器内可以自动转换为正极性逻辑。在图1和图2中,R、G、B为正极性信号即高电平有效。当VS=0、HS=1时,CRT现实的内容为亮的过程,即正向扫描过程约为26us。当一行扫描完毕,行同步HS=1,约需6us。期间,CRT扫描产生消隐,电子束回到CRT左边下一行的起始位置(X=0,Y=1);当扫描完480行后,CRT的场同步VS=1,产生场同步使扫描线回到CRT的第一行第一列(X=0,Y=0)处(约为两个行周期)。2、彩色信号的生成本设计中采用3位数字表达式R、G、B(纯数字方式)三种基色,可以显示8中颜色,表三是此八

8、种颜色的对应的编码电平。利用数字逻辑关系将R、G、B进行逻辑运算便可得到显示的图形。表三 颜色编码颜色黑蓝红品绿青黄白R00001111G00110011B010101013、显示控制模块经编译后的彩条信号经过显示模块处理后送到显示器进行显示。利用行、场同步信号的计数器,在图像有效区间内按照图片的大小划定行和列的起始坐标和终止坐标。本设计使用和行、场计数器相同频率的时钟信号作为图像地址计数器的时钟,当扫描信号到达显示图片的区间时,图像地址计数器开始计数,同时从相应位置读出数据送往显示器进行显示,显示模块的输出直接连到VGA的接口。在设计中还增加了一个模式选择按键MD,用来控制图像的显示模式,本

9、设计中共有6中显示变化的图像如表4所示。表4 彩色信号发生器的显示模式1横彩条1:白黄青绿品红蓝黑2:黑蓝红品绿青黄白2竖彩条1:白黄青绿品红蓝黑2:黑蓝红品绿青黄白3棋盘格1:棋盘格显示模式12:棋盘格显示模式2三、软件设计本设计采用Altera公司的EDA软件0uartus II,采用VHDL语言进行软件程序的编写,来实现VGA彩条信号显示控制器的设计。流程图为 具体程序为:LIBRARY IEEE; - VGA显示器 彩条 发生器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLOR IS PORT

10、 ( CLK, MD : IN STD_LOGIC; HS, VS, R, G, B : OUT STD_LOGIC ); - 行场同步/红,绿,蓝END COLOR;ARCHITECTURE behav OF COLOR IS SIGNAL HS1,VS1,FCLK,CCLK : STD_LOGIC; SIGNAL MMD : STD_LOGIC_VECTOR(1 DOWNTO 0);- 方式选择 SIGNAL FS : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL CC : STD_LOGIC_VECTOR(4 DOWNTO 0); -行同步/横彩条生成 SI

11、GNAL LL : STD_LOGIC_VECTOR(8 DOWNTO 0); -场同步/竖彩条生成 SIGNAL GRBX : STD_LOGIC_VECTOR(3 DOWNTO 1);- X横彩条 SIGNAL GRBY : STD_LOGIC_VECTOR(3 DOWNTO 1);- Y竖彩条 SIGNAL GRBP : STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL GRB : STD_LOGIC_VECTOR(3 DOWNTO 1);BEGIN GRB(1) = (GRBP(1) XOR MD) AND HS1 AND VS1; GRB(2) = (GRBP

12、(2) XOR MD) AND HS1 AND VS1; GRB(3) = (GRBP(3) XOR MD) AND HS1 AND VS1; PROCESS( MD ) BEGIN IF MDEVENT AND MD = 0 THEN IF MMD = 10 THEN MMD = 00; ELSE MMD = MMD + 1; END IF; -三种模式 END IF; END PROCESS; PROCESS( MMD ) BEGIN IF MMD = 00 THEN GRBP = GRBX; - 选择横彩条 ELSIF MMD = 01 THEN GRBP = GRBY; - 选择竖彩条

13、 ELSIF MMD = 10 THEN GRBP = GRBX XOR GRBY; -产生棋盘格 ELSE GRBP = 000; END IF; END PROCESS; PROCESS( CLK ) BEGIN IF CLKEVENT AND CLK = 1 THEN - 12MHz 13分频 IF FS = 12 THEN FS = 0000; ELSE FS = (FS + 1); END IF; END IF; END PROCESS; FCLK = FS(3); CCLK = CC(4); PROCESS( FCLK ) BEGIN IF FCLKEVENT AND FCLK =

14、 1 THEN IF CC = 29 THEN CC = 00000; ELSE CC = CC + 1; END IF; END IF; END PROCESS; PROCESS( CCLK ) BEGIN IF CCLKEVENT AND CCLK = 0 THEN IF LL = 481 THEN LL = 000000000; ELSE LL 23 THEN HS1 = 0; -行同步 ELSE HS1 479 THEN VS1 = 0; -场同步 ELSE VS1 = 1; END IF; END PROCESS; PROCESS(CC, LL) BEGIN IF CC 3 THEN

15、 GRBX = 111; - 横彩条 ELSIF CC 6 THEN GRBX = 110; ELSIF CC 9 THEN GRBX = 101; ELSIF CC 12 THEN GRBX = 100; ELSIF CC 15 THEN GRBX = 011; ELSIF CC 18 THEN GRBX = 010; ELSIF CC 21 THEN GRBX = 001; ELSE GRBX = 000; END IF; IF LL 60 THEN GRBY = 111; - 竖彩条 ELSIF LL 120 THEN GRBY = 110; ELSIF LL 180 THEN GRBY

16、 = 101; ELSIF LL 240 THEN GRBY = 100; ELSIF LL 300 THEN GRBY = 011; ELSIF LL 360 THEN GRBY = 010; ELSIF LL 420 THEN GRBY = 001; ELSE GRBY = 000; END IF; END PROCESS; HS = HS1 ; VS = VS1 ;R = GRB(2) ;G = GRB(3) ; B = GRB(1);END behav;四、系统测试采用Cylone系列FPGA器件为硬件平台进行系统测试,芯片型号为EP2C5T144C8。测试步骤为:首先对设计文件进行综

17、合,然后加载波形进行时序仿真和功能仿真;将设计文件下载到试验箱上进行硬件测试。综合结果如下:功能仿真结果:在时钟线号的激励下产生了扫描信号,同时在MD的控制下,R、G、B分别产生了所需要的彩色信号。硬件测试显示的图形为:五、小结传统的VGA图像显示方法在图像传输的过程中需要CPU不断地对所传输的图像数据信号进行控制,造成了CPU资源的浪费,而采用FPGA设计的VGA显示控制器,不需要依赖计算机进行相应的控制,因此节省了计算机的处理过程,加快了数据的处理速度,增强了系统的可靠性和设计的灵活性。同时,可移植性好,节约了硬件成本,使系统升级方便,扩展了应用范围。参考文献1、潘松,黄继业 EDA技术与VHDL(第三版)清华大学出版社2、王金明,冷自强 EDA技术与Verilog设计 科学出版社3、梁勇,王留奎 EDA技术教程 人民邮电出版社附录一锁定引脚附录二生成的原理图 14

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!