VHDL的描述风格课件

上传人:20****08 文档编号:240897556 上传时间:2024-05-16 格式:PPT 页数:18 大小:100.85KB
收藏 版权申诉 举报 下载
VHDL的描述风格课件_第1页
第1页 / 共18页
VHDL的描述风格课件_第2页
第2页 / 共18页
VHDL的描述风格课件_第3页
第3页 / 共18页
资源描述:

《VHDL的描述风格课件》由会员分享,可在线阅读,更多相关《VHDL的描述风格课件(18页珍藏版)》请在装配图网上搜索。

1、第三章第三章 VHDL VHDL的描述风格的描述风格 3.1 行为描述方式行为描述方式 3.2 数据流描述方式(数据流描述方式(RTL描述方式)描述方式)3.3 结构化描述方式结构化描述方式3.4 混合描述风格混合描述风格 第三章 VHDL的描述风格 3.1 行为描述方式 1 VHDL语言是通过语言是通过结构体结构体具体描述整个具体描述整个设计实体设计实体的逻辑功能。的逻辑功能。通常结构体有四种不同的描述方式:通常结构体有四种不同的描述方式:行为描述方式行为描述方式(behavior)、)、数据流描述方式数据流描述方式(dataflow)或寄存器)或寄存器RTL描描述方式、述方式、结构化描述结

2、构化描述方式(方式(structural)以及)以及混合描述混合描述方式。方式。VHDL通过这四种不同的描述方式从不同的侧面描述结构体的通过这四种不同的描述方式从不同的侧面描述结构体的功能。前三种是最基本的描述方式,他们组合起来就成为混合功能。前三种是最基本的描述方式,他们组合起来就成为混合描述方式。描述方式。下面结合一个全加器来说明这四种描述风格,全加器的端口下面结合一个全加器来说明这四种描述风格,全加器的端口示意图如图所示,其输入输出关系如表所示。示意图如图所示,其输入输出关系如表所示。VHDL语言是通过结构体具体描述整个设计实体的逻辑2全加器的输入输出关系全加器的输入输出关系 输入输入

3、输出输出c_in x y c_out sum 0 0 0 0 00 0 1 0 10 1 0 0 10 1 1 1 01 0 0 0 11 0 1 1 01 1 0 1 01 1 1 1 1 全加器框图全加器框图全加器的输入输出关系 输入 33.1 行为描述方式行为描述方式 行为描述输入与输出间转换的行为行为描述输入与输出间转换的行为,不需包含任,不需包含任何结构信息,它对设计实体按算法的路径来描述。何结构信息,它对设计实体按算法的路径来描述。行为描述在行为描述在EDA工程中通常被称为高层次描述,工程中通常被称为高层次描述,设设计工程师只需要注意正确的实体行为、准确的函数计工程师只需要注意正确

4、的实体行为、准确的函数模型和精确的输出结果就可以了,无需关注实体的模型和精确的输出结果就可以了,无需关注实体的电路组织和门级实现。电路组织和门级实现。3.1 行为描述方式 行为描述输入与输出间转换的行为4例例:基于全加器真值表采用行为描述方式设计的全加器(基于全加器真值表采用行为描述方式设计的全加器(1位二进制数全加位二进制数全加)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY full_adder IS GENERIC(tpd:TIME:=10 ns););PORT(x,y,c_in:IN STD_LOGIC;Sum,c_out:OUT STD

5、_LOGIC);END full_adder;ARCHITECTURE behav OF full_adder ISBEGIN PROCESS(x,y,c_in)VARIABLE n:INTEGER;CONSTANT sum_vector:STD_LOGIC_VECTOR(0 TO 3):=“0101”;CONSTANT carry_vector:STD_LOGIC_VECTOR(0 TO 3):=“0011”;例:基于全加器真值表采用行为描述方式设计的全加器(1位二进制5BEGIN n:=0;IF x=1 THEN n:=n+1;END IF;IF y=1 THEN n:=n+1;END I

6、F;IF c_in=1 THEN n:=n+1;END IF;-(0 TO 3)sum=sum_vector(n)AFTER 2*tpd;-sum_vector初初值值为为“0101”c_out=carry_vector(n)AFTER 3*tpd;-carry_vector初初值值为为“0011”END PROCESS;-(0 TO 3)END behav;输入输入 输出输出c_in x y c_out sum 0 0 0 0 00 0 1 0 10 1 0 0 10 1 1 1 01 0 0 0 11 0 1 1 01 1 0 1 01 1 1 1 1 对照真值表解释程序对照真值表解释程序

7、BEGIN 输入 63.2 数据流描述方式数据流描述方式 数据流描述方式表示行为,也隐含表示结构,它描述了数据流描述方式表示行为,也隐含表示结构,它描述了数据流的运动路线、运动方向和运动结果。数据流的运动路线、运动方向和运动结果。对于全加器,对于全加器,用布尔方程描述其逻辑功能如下用布尔方程描述其逻辑功能如下:s=x XOR y sum=s XOR c_in c_out=(x AND y)OR(s AND c_in)下面是基于上述布尔方程的数据流风格的描述下面是基于上述布尔方程的数据流风格的描述:3.2 数据流描述方式 对于全加器,用布尔方程描述其逻辑功7例:采用数据流描述方式的全加器例:采用

8、数据流描述方式的全加器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY full_adder IS GENERIC(tpd:TIME:=10 ns););PORT(x,y,c_in:IN STD_LOGIC;Sum,c_out:OUT STD_LOGIC);END full_adder;ARCHITECTURE dataflow OF full_adder ISBEGIN s=x XOR y AFTER tpd;sum=s XOR c_in AFTER tpd;c_out=(x AND y)OR(s AND c_in)AFTER 2*tpd;END

9、 dataflow;例:采用数据流描述方式的全加器83.23 结构描述方式结构描述方式结构化描述方式就是在多层次的设计中,高层次的设计可以结构化描述方式就是在多层次的设计中,高层次的设计可以调用低层次的设计模块,或直接用门电路设计单元来构成一调用低层次的设计模块,或直接用门电路设计单元来构成一个复杂逻辑电路的方法。个复杂逻辑电路的方法。利用结构化描述方法将已有的设计利用结构化描述方法将已有的设计成果方便地用于新的设计中成果方便地用于新的设计中,能大大提高设计效率。在结构,能大大提高设计效率。在结构化描述中,建模的焦点是端口及其互连关系。化描述中,建模的焦点是端口及其互连关系。结构化描述的建模步

10、骤如下:结构化描述的建模步骤如下:(1)元件说明)元件说明(2)元件例化)元件例化(3)元件配置)元件配置元件说明用于描述局部接口;元件例化是要相对于其他元件来放置该元件;元件说明用于描述局部接口;元件例化是要相对于其他元件来放置该元件;元件配置用于指定元件所用的设计实体。元件配置用于指定元件所用的设计实体。3.23 结构描述方式结构化描述方式就是在多层次的设计中,高9对于上图给出的全加器端口结构,可以认为它是由对于上图给出的全加器端口结构,可以认为它是由两个半两个半加器和一个或门加器和一个或门组成的组成的。基于上图所示的结构,可以写出全加器的结构化描述设计基于上图所示的结构,可以写出全加器的

11、结构化描述设计程序如下。程序如下。对于上图给出的全加器端口结构,可以认为它是由两个半加器和一个10例:全加器的结构化描述例:全加器的结构化描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY half_adder IS GENERIC(tpd:TIME:=10 ns););PORT(in1,in2:IN STD_LOGIC;sum,carry:OUT STD_LOGIC);END half_adder;ARCHITECTURE behavioral OF half_adder ISBEGINPROSESS(in1,in2)例:全加器的结构化描述11

12、BEGIN sum=in1 XOR in2 AFTER tpd;carry=in1 AND in2 AFTER tpd;END PROCESS;END behavioral;-半加器设计完毕半加器设计完毕LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY or_gate IS GENERIC(tpd:TIME:=10 ns););PORT(in1,in2:IN STD_LOGIC;out1:OUT STD_LOGIC);END or_gate;BEGIN12ARCHITECTURE structural OF or_gate ISBEGIN out1

13、=in1 OR in2 AFTER tpd;END structural;-或门设计完毕或门设计完毕 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY full_adder IS GENERIC(tpd:TIME:=10 ns););PORT(x,y,c_in:IN STD_LOGIC;Sum,c_out:OUT STD_LOGIC);END full_adder;ARCHITECTURE structural OF or_13ARCHITECTURE structural OF full_adder IS COMPONENT half_adder

14、 PORT(in1,in2:IN STD_LOGIC;sum,carry:OUT STD_LOGIC);END COMPONENT;COMPONENT or_gate PORT(in1,in2:IN STD_LOGIC;out1:OUT STD_LOGIC);END COMPONENT;SIGNAL a,b,c:STD_LOGIC;FOR u1,u2:half_adder USE ENTITY WORK.half_adder(behavioral);FOR u3:or_gate USE ENTITY WORK.or_gate(structural);ARCHITECTURE structura

15、l OF ful14BEGIN u1:half_adder PORT MAP(x,y,b,a);u2:half_adder PORT MAP(c_in,b,sum,c);u3:or_gate PORT MAP(c,a,c_out);END structural;由上例可见,对于一个复杂的电子系统,可以将其分解为若由上例可见,对于一个复杂的电子系统,可以将其分解为若干个子系统,每个子系统再分解成模块,形成多层次设计。干个子系统,每个子系统再分解成模块,形成多层次设计。这样,可以使更多的设计者同时进行合作。在多层次设计中,这样,可以使更多的设计者同时进行合作。在多层次设计中,每个层次都可以作为一个

16、元件,再构成一个模块或系统,可每个层次都可以作为一个元件,再构成一个模块或系统,可以先分别仿真每个元件,然后再整体调试。所以说结构化描以先分别仿真每个元件,然后再整体调试。所以说结构化描述不仅是一种设计方法,而且是一种设计思想,是大型电子述不仅是一种设计方法,而且是一种设计思想,是大型电子系统高层次设计的重要手段。系统高层次设计的重要手段。BEGIN由上例可见,对于一个复杂的电子系统,可以将其分解为153.4 混合描述风格混合描述风格 在实际设计工作中,可以采用上述三种描述方式的任意组合,在实际设计工作中,可以采用上述三种描述方式的任意组合,这就是混合描述。同样还是图所给出端口结构的全加器模型

17、,这就是混合描述。同样还是图所给出端口结构的全加器模型,其混合描述方式如下。其混合描述方式如下。例例:全加器的混合描述全加器的混合描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xor_gate IS GENERIC(tpd:TIME:=10 ns););PORT(in1,in2:IN STD_LOGIC;out1:OUT STD_LOGIC);END xor_gate;3.4 混合描述风格 在实际设计工作中,可以采用上述三种描述16ARCHITECTURE behavioral OF xor_gate ISBEGIN out1=in1 XO

18、R in2 AFTER tpd;END behavioral;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY full_adder IS GENERIC(tpd:TIME:=10 ns););PORT(x,y,c_in:IN STD_LOGIC;Sum,c_out:OUT STD_LOGIC);END full_adder;ARCHITECTURE behavioral OF xor17ARCHITECTURE mix OF full_adder IS COMPONENT xor_gate PORT(in1,in2:IN STD_LOGIC;out1:OUT STD_LOGIC);END COMPONENT;SIGNAL s:STD_LOGIC;FOR ALL:xor_gate USE ENTITY WORK.xor_gate(behavioral);BEGIN u1:xor_gate PORT MAP(x,y,s);u2:xor_gate PORT MAP(s,c_in,sum);c_out=(x AND y)OR(s AND c_in)AFTER 2*tpd;END mix;ARCHITECTURE mix OF full_adder18

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!