实验7用isp器件和eda技术设计多功能数字钟verilog

上传人:痛*** 文档编号:230298230 上传时间:2023-08-23 格式:PPT 页数:51 大小:3.10MB
收藏 版权申诉 举报 下载
实验7用isp器件和eda技术设计多功能数字钟verilog_第1页
第1页 / 共51页
实验7用isp器件和eda技术设计多功能数字钟verilog_第2页
第2页 / 共51页
实验7用isp器件和eda技术设计多功能数字钟verilog_第3页
第3页 / 共51页
资源描述:

《实验7用isp器件和eda技术设计多功能数字钟verilog》由会员分享,可在线阅读,更多相关《实验7用isp器件和eda技术设计多功能数字钟verilog(51页珍藏版)》请在装配图网上搜索。

1、电子线路设计与测试电子线路设计与测试2010年年12月月ISPISP器件的设计与应用器件的设计与应用1返回一、实验目的一、实验目的o掌握可编程逻辑器件的应用开发技术设计输入、编译、仿真和器件编程;o熟悉一种EDA软件使用;o掌握Verilog设计方法;o掌握分模块分层次的设计方法;o用Verilog完成一个多功能数字钟设计。2返回二、实验任务:二、实验任务:多功能数字钟设计多功能数字钟设计(第(第1618周)周)o已知条件已知条件MAX+Plus II软件软件FPGA实实验验开开发发装装置置(该该装装置置可可以以提提供供3路路时时钟钟信信号号和译码显示电路)和译码显示电路)o基本功能基本功能具

2、具 有有“秒秒”、“分分”、“时时”计计时时功功能能,小小时时按按24小时制计时。小时制计时。具具有有校校时时功功能能,能能对对“分分”和和“小小时时”进进行行调调整。整。o扩展功能扩展功能仿仿广广播播电电台台正正点点报报时时。在在59分分51秒秒、53秒秒、55秒秒、57秒秒发发出出低低音音512Hz信信号号,在在59分分 59秒秒 时时 发发 出出 一一 次次 高高 音音1024Hz信信号号,音音响响持持续续1秒秒钟钟,在在1024Hz音音响响结结束束时时刻为整点。刻为整点。定定时时控控制制,其其时时间间为为23时时58分;分;3返回选做内容选做内容任意时刻闹钟(闹钟时间可设置)任意时刻闹

3、钟(闹钟时间可设置)自动报整点时数自动报整点时数二、实验任务:二、实验任务:多功能数字钟设计多功能数字钟设计(第(第1618周)周)4返回三、数字钟设计分析三、数字钟设计分析-功能框图功能框图数字钟电路系统由数字钟电路系统由主体电路主体电路和和扩展电路扩展电路两大部分所组成两大部分所组成 秒计数器计满秒计数器计满60后向后向分计数器进位分计数器进位 分计数器计满60后向小时计数器进位 小时计数器按照小时计数器按照“24进制进制”规律计数规律计数 计数器的输出经译码器送显示器 5返回时分秒计数器的设计时分秒计数器的设计 o分和秒计数器都是模分和秒计数器都是模M=60的计数器的计数器 n其计数规律

4、为其计数规律为0001585900 o时计数器是一个时计数器是一个24进制计数器进制计数器 n即当数字钟运行到即当数字钟运行到23时时59分分59秒时,秒的个位秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显计数器再输入一个秒脉冲时,数字钟应自动显示为示为00时时00分分00秒。秒。6返回四、数字钟的实现方法简介:四、数字钟的实现方法简介:o使用传统的中小规模集成电路实现。使用传统的中小规模集成电路实现。o使用使用可编程逻辑器件(可编程逻辑器件(FPGA或或CPLD)实现。实现。这类电路具有现场可编程的特性,用户通过计这类电路具有现场可编程的特性,用户通过计算机和开发工具将自己设计的电路

5、,生成关于算机和开发工具将自己设计的电路,生成关于阵列连接的信息文件,并将信息文件通过编程阵列连接的信息文件,并将信息文件通过编程器器“编程编程”到芯片上,实现所需功能到芯片上,实现所需功能。(本实。(本实验选用该方式实现,参考验选用该方式实现,参考p115)o使用专用集成电路(使用专用集成电路(ASIC芯片)实现芯片)实现(例如数例如数字手表用的芯片等)。字手表用的芯片等)。7返回可编程逻辑器件的开发流程可编程逻辑器件的开发流程8返回1.设计输入设计输入2.电路编译与适配电路编译与适配3.电路仿真电路仿真4.器件的编程下载器件的编程下载五、设计举例五、设计举例十进制计数器十进制计数器9返回1

6、.设计输入设计输入使用文本输入方式来完成输入,设计输入包括以下使用文本输入方式来完成输入,设计输入包括以下步骤:步骤:(1)创建一个新文件。)创建一个新文件。(2)输入)输入VerilogHDL代码。代码。(3)保存文件并检查错误。)保存文件并检查错误。(4)规定项目名称。)规定项目名称。(5)关闭)关闭 Text Editor 窗口。窗口。10返回(1)创建一个新文件)创建一个新文件a.启动启动Max+Plus II双击 MAX+PLUS II 图标 开始开始-EDA工具-Altera-MAX+PLUS II 10.2,开始运行 MAX+PLUS II11返回b.选择选择 FileNew 菜

7、单,或单击菜单,或单击 ,弹出弹出 New 对话框。对话框。图形编辑输入图形编辑输入 符号编辑输入符号编辑输入 文本编辑输入文本编辑输入 波形编辑输入波形编辑输入c.选中选中 Text Editor file(文本设计文件)单选按(文本设计文件)单选按钮。钮。d.单击单击 ok 按钮。弹出按钮。弹出 Text Editor 窗口。窗口。12返回(2)输入Verilog代码文本编辑器文本编辑器13返回Count10原理图原理图14返回count10.v15返回(3)保存文件)保存文件 要保存文件,选择要保存文件,选择 FileSave As 选项,弹出选项,弹出 Save As 对话框。如图所示

8、。对话框。如图所示。在在 文本框中输入文本框中输入 count10,并在,并在 Directories 列表框中选择文件的保存列表框中选择文件的保存目录。目录。在在 MAX+PLUS 的有些版本中,保存文件目的有些版本中,保存文件目录的路径字符串中不能包含中文字符。录的路径字符串中不能包含中文字符。注意注意16返回MAX+PLUS II中,中,在在 编译一个编译一个项目前,必须确定一个设计项目前,必须确定一个设计文件作为当前项目。文件作为当前项目。1.在在 File-Project 2.-Name项,或者项,或者 将出现将出现Project Name 对话框对话框2.在在 Files 框内,选

9、择当前设计框内,选择当前设计文件。文件。3.选择选择 OK。MAX+PLUS II的标题条将显示的标题条将显示新的项目名字新的项目名字指定项目名称指定项目名称当前项目当前项目显示当前路径下的全部设计文件和编程文件。显示当前项目名显示当前路径显示所有子目录.显示所有本地和网络驱动器17返回指定当前设计项目为顶层文件指定当前设计项目为顶层文件v菜单菜单File-Project-Set Project to Current Filev工具栏工具栏在保存文件完毕一定要在保存文件完毕一定要 设置设计项目为当前顶层文件设置设计项目为当前顶层文件注意注意技巧技巧 此操作在你打开此操作在你打开几个原有项目文件

10、时几个原有项目文件时尤为重要,否则容易尤为重要,否则容易出错。出错。18返回 为了确保输入的正确,可以保存文件并编译。步为了确保输入的正确,可以保存文件并编译。步骤如下:骤如下:a.选择选择 FileProjectSave 选项选项b.选择选择Max+plus II Compiler Start进行编译进行编译并检查错误并检查错误(4 4)检查错误)检查错误c.单击编译单击编译 窗口右上角的关闭按钮,关闭窗口右上角的关闭按钮,关闭 Compiler 窗口。窗口。(5 5)关闭)关闭 Text Editor 窗口。窗口。19返回编译过程编译过程20返回注意事项注意事项不能把用户的设计文件存放在硬

11、盘的根目录下,不能把用户的设计文件存放在硬盘的根目录下,双击子目录选中双击子目录选中;设计文件名中不能含有文字和特殊符号设计文件名中不能含有文字和特殊符号设置项目为当前顶层文件设置项目为当前顶层文件特别在打开了几个特别在打开了几个设计文件时尤其要注意设计文件时尤其要注意21返回(1)定义器件)定义器件2.电路编译与适配电路编译与适配a.选择选择 AssignDevice 选项,弹出选项,弹出 Device 对话框。对话框。b.在在 Device Family 下拉列表框中选择适下拉列表框中选择适配器件的系列,在配器件的系列,在 Devices 中选择器件的中选择器件的型号,然后单击型号,然后单

12、击 OK 按钮。按钮。c.如果不对适配器件的型号进行选择,该软件将自动如果不对适配器件的型号进行选择,该软件将自动选择适合本电路的器件进行编译适配。选择适合本电路的器件进行编译适配。(本设计中选择(本设计中选择FLEX10k系列的系列的EPF10k10LC84-4器件)器件)22返回(2)编译适配)编译适配再次选择再次选择 MAX+plusCompiler或者或者 ,弹出,弹出 Compiler 窗口。窗口。单击单击 Start 按钮开始编译并显示编译结果,生成按钮开始编译并显示编译结果,生成*.sof下载文件。下载文件。MAX+PLUS II 编译器将检查项目是否有错,并对项目进行编译器将检

13、查项目是否有错,并对项目进行逻辑综合,然后配置到逻辑综合,然后配置到 Altera 器件中,同时将产生报告文件器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。编程文件和用于时间仿真用的输出文件。23返回(1)添加仿真激励信号添加仿真激励信号 3.电路仿真电路仿真 a.选择选择 MAX+plus Wave Editor 选项,弹出波形编选项,弹出波形编辑窗口。辑窗口。24返回b.将鼠标移至空白处并单击右键将鼠标移至空白处并单击右键选择选择 Enter Node from SNF 选项选项,或,或Nodes-Enter Nodes from SNF 出现对话出现对话框窗口框窗口。c

14、.c.单击单击 List 和和=按钮,按钮,选择欲仿真的输入选择欲仿真的输入/输出端口输出端口。25返回d.电路输入端口添加激励信号电路输入端口添加激励信号 选中欲添加信号的管脚,窗口左边的信号源按钮变成可选中欲添加信号的管脚,窗口左边的信号源按钮变成可操作状态。根据电路实际要求选择信号源种类。操作状态。根据电路实际要求选择信号源种类。放大或缩小波形放大或缩小波形调整显示区域的大小调整显示区域的大小以低电平以低电平 0(或高电平(或高电平 1)覆盖所选波形)覆盖所选波形以不定态以不定态 X(或高阻态(或高阻态 Z)覆盖所选波形)覆盖所选波形反转所选波形的逻辑电平反转所选波形的逻辑电平以时钟波形

15、覆盖所选节点以时钟波形覆盖所选节点以计数序列覆盖所选的单个组的全部或部分波形以计数序列覆盖所选的单个组的全部或部分波形26返回e.为输入端口添加信号为输入端口添加信号 n选中选中CP输入端输入端 n然后点击窗口左侧的时钟信号然后点击窗口左侧的时钟信号源图标源图标出现如图所示的对话框。出现如图所示的对话框。n选择初始电平为选择初始电平为“0”,时钟周期为,时钟周期为“200 ns”,倍数为倍数为“1”(时钟周期倍数只能为整数倍),单击(时钟周期倍数只能为整数倍),单击 OK 确认。确认。File-End Time 设置仿真结束时间设置仿真结束时间Option-Grid Size 设置信号频率设置

16、信号频率注意注意1、时间单位与数值之间不能有空格、时间单位与数值之间不能有空格2、先设置、先设置End Time 和和Grid Size,后设置输入信号,后设置输入信号27返回f.保存激励信号编辑结果保存激励信号编辑结果 n点击点击 FileSave 菜菜单出现如图所示对单出现如图所示对话框。话框。n文件名称和原理图文件名称和原理图文件一致,扩展名文件一致,扩展名为为“.scf”,单击,单击OK保存激励信号编保存激励信号编辑结果。辑结果。n10进制计数器激励进制计数器激励信号如图所示。信号如图所示。28返回(2)电路仿真电路仿真 电路仿真属于设计校验,包括功能仿真(前仿真)电路仿真属于设计校验

17、,包括功能仿真(前仿真)和时序仿真(后仿真)。由于时序仿真的结果比较接和时序仿真(后仿真)。由于时序仿真的结果比较接近实际器件仿真的结果,因此本设计采用时序仿真。近实际器件仿真的结果,因此本设计采用时序仿真。a.选择选择MAX+plusSimulator选项或选项或 ,弹出仿真,弹出仿真器窗口器窗口。b.单击单击 Start 开始仿真开始仿真。c.电路仿真完成后,单击电路仿真完成后,单击Open SCF 打开波形文件,打开波形文件,显示电路的仿真结果。显示电路的仿真结果。29返回d.1010进制电路的仿真结果如图所示。进制电路的仿真结果如图所示。检查仿真结果是否正确,并观察电路的时序及检查仿真

18、结果是否正确,并观察电路的时序及延时情况延时情况。30返回(3)管脚的重新分配与定位管脚的重新分配与定位 选择选择 MAX+PlusFloorplan Editor选项,即可打开平选项,即可打开平面(底层)编辑器窗口,出现如图所示的芯片管脚分配图。面(底层)编辑器窗口,出现如图所示的芯片管脚分配图。芯片名称区芯片名称区芯片名称区芯片名称区颜色图例颜色图例颜色图例颜色图例器件显示区器件显示区器件显示区器件显示区未赋值节点未赋值节点未赋值节点未赋值节点和管脚显示和管脚显示和管脚显示和管脚显示区区区区选中节点和选中节点和选中节点和选中节点和管脚区管脚区管脚区管脚区 这是由软件自动分配的,用户可根据需

19、要随意改变管这是由软件自动分配的,用户可根据需要随意改变管脚分配,管脚的编辑过程如下。脚分配,管脚的编辑过程如下。31返回如果出现下图所示界面如果出现下图所示界面,单击单击layout-Device viewlayout-Device view项项,或者双击鼠标左键切换或者双击鼠标左键切换.32返回管脚的编辑过程:管脚的编辑过程:用鼠标左键按住欲分配的输入、输出端口并拖用鼠标左键按住欲分配的输入、输出端口并拖到下面芯片的相应管脚上,然后松开,即可完到下面芯片的相应管脚上,然后松开,即可完成一个管脚的重新分配成一个管脚的重新分配。33返回EDA Pro2K实验系统介绍实验系统介绍o可用资源可用资

20、源n8个数码显示(含个数码显示(含8421译码)译码)o可显示可显示09,AFn8个个LED发光管显示发光管显示n1个带驱动的小型扬声器(蜂鸣器)个带驱动的小型扬声器(蜂鸣器)n8个按键个按键n4组时钟源组时钟源34返回35返回可用资源使用方法可用资源使用方法引脚分配(锁定)引脚分配(锁定)资源名称资源名称引脚名称引脚名称引脚号引脚号功能功能说明说明CLK0CLK01/4/16/64/1024/4096/163841/4/16/64/1024/4096/16384/65536/12M/24M/48M/65536/12M/24M/48M 1/2/81/2/8 CLK1CLK1CLK2CLK2CL

21、K3CLK33 35 56 67 71024/4096/327681024/4096/32768 12M/24M/48M12M/24M/48M D8/D7/D6/D5D8/D7/D6/D5 81/80/79/7881/80/79/78 红红/黄黄/绿绿/绿绿 D4/D3/D2/D1D4/D3/D2/D1 73/72/71/7073/72/71/70绿绿/绿绿/黄黄/红红 LED蜂鸣器蜂鸣器时钟时钟SPKSPK8383资源资源引脚名称引脚名称引脚号引脚号模式二模式二 K8/K7/K6/K5K8/K7/K6/K5 19/18/17/1619/18/17/16 2ms2ms脉冲脉冲 K4/K3/K2

22、/K1K4/K3/K2/K1 11/10/9/811/10/9/8 按键按键模式一模式一 模式三模式三 琴琴键电键电平平 乒乓电乒乓电平平 乒乓电乒乓电平平 琴琴键电键电平平 乒乓电乒乓电平平 36返回可用资源使用方法可用资源使用方法引脚分配(锁定)引脚分配(锁定)资源资源引脚名称引脚名称引脚号引脚号38/39/47/4838/39/47/48 30/35/36/3730/35/36/37 SM8_ B0/B1/B2/B3SM8_ B0/B1/B2/B365/66/67/6865/66/67/68 60/61/62/6460/61/62/64 53/54/58/5953/54/58/59 49

23、/50/51/5249/50/51/52 25/27/28/2925/27/28/29 21/22/23/2421/22/23/24 数码管数码管8 数码管数码管7 数码管数码管6 数码管数码管5 数码管数码管4 数码管数码管3数码管数码管2 数码管数码管1 SM7_ B0/B1/B2/B3SM7_ B0/B1/B2/B3SM6_ B0/B1/B2/B3SM6_ B0/B1/B2/B3SM5_ B0/B1/B2/B3SM5_ B0/B1/B2/B3SM4_ B0/B1/B2/B3SM4_ B0/B1/B2/B3SM3_ B0/B1/B2/B3SM3_ B0/B1/B2/B3SM2_ B0/B1

24、/B2/B3SM2_ B0/B1/B2/B3SM1_ B0/B1/B2/B3SM1_ B0/B1/B2/B337返回引脚分配引脚分配onCLRKey18oENKey29oCPclk03oQ0-Q3 数码管1 21-2438返回推荐使用推荐使用Assign菜单菜单39返回(4)再次编译)再次编译选择选择 MAX+plusCompiler,弹出,弹出 Compiler 窗口。窗口。单击单击 Start 按钮开始编译并显示编译结果,生成按钮开始编译并显示编译结果,生成*.sof下载文件。下载文件。40返回管脚重新分配时须注意的事项:管脚重新分配时须注意的事项:n芯片上有些特殊功能的管脚(如芯片上有些

25、特殊功能的管脚(如GND、Global CLK 等),进行管脚编辑时不能使用。等),进行管脚编辑时不能使用。n在器件选择时如果选择了在器件选择时如果选择了Auto,则不允许对管脚,则不允许对管脚进行再分配。进行再分配。n对管脚进行重新分配后,必须再编译一次,否则对管脚进行重新分配后,必须再编译一次,否则下载后的管脚还是自动分配的状态。下载后的管脚还是自动分配的状态。41返回4.4.器件的编程下载器件的编程下载 a.选择选择 MAX+PlusProgrammer 选项,如果是第一次使用,选项,如果是第一次使用,将出现如图所示的对话框。将出现如图所示的对话框。硬件类型选择硬件类型选择“ByteBl

26、aster”并单击并单击 OK 确认。确认。42返回b.选择完下载文件后,单击选择完下载文件后,单击 OK 确定,出现如图所示的编程界确定,出现如图所示的编程界面。面。单击单击 Configure 按钮进行下载编程按钮进行下载编程。43返回六、层次化的设计输入方法六、层次化的设计输入方法高层次设计是一种高层次设计是一种“自顶向下自顶向下”的全新设计方法,这的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。图的划分和结构设计。由于设计的主要仿真和调试过程是在高层次上完成的,这由于设计的主要仿真和调试过程是在高层次

27、上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。成功率。44返回数字钟设计数字钟设计-层次结构图层次结构图45返回层次化设计举例层次化设计举例o(1)对前面设计的10进制计数器进行修改46返回(2)生成模块符号的过程)生成模块符号的过程(1)输入各模块文件输入各模块文件 原理图输入或文本输入原理图输入或文本输入(2)将设计文件设置成当前工程将设计文件设置成当前工程.菜单菜单File-Project-Set Project t

28、o current File(3)对设计项目进行编译对设计项目进行编译 主菜单主菜单MAX+PLUS II-Compiler,按按Start按钮按钮(4)对设计项目进行仿真对设计项目进行仿真 打开波形图编辑器打开波形图编辑器,创建仿真用的通用文件创建仿真用的通用文件,然后选择菜单然后选择菜单MAX+PLUS II-Simulator(5)生成模块符号生成模块符号,供顶层模块调用供顶层模块调用 选择菜单选择菜单File-Create Default Symbol 47返回生成模块符号生成模块符号48返回(3)利用新的)利用新的10进制模块构成进制模块构成100进制进制o(1)新建一个图形编辑文件

29、o(2)添加2个10进制计数器o(3)将2个10进制计数器级联o(4)对该100进制计数器进行仿真49返回o熟悉熟悉MAX+PLUS II软件的使用;软件的使用;o拟定数字钟的组成框图,划分模块;拟定数字钟的组成框图,划分模块;o采用分模块、分层次的方法设计电路;采用分模块、分层次的方法设计电路;o各单元模块电路的设计与仿真;各单元模块电路的设计与仿真;o总体电路的设计与仿真;总体电路的设计与仿真;o总体电路的下载与调试。总体电路的下载与调试。o设计必须采用设计必须采用Verilog HDL语言(最顶层可以语言(最顶层可以采用原理图)。采用原理图)。六、实验步骤与要求六、实验步骤与要求50返回l封面:实验名称,系,班,姓名,学号,指导教师封面:实验名称,系,班,姓名,学号,指导教师l实验名称实验名称l设计内容及要求设计内容及要求l系统框图与说明系统框图与说明l输入输出设计(按键,数码管,发光管,蜂鸣器)输入输出设计(按键,数码管,发光管,蜂鸣器)l各工作模式仿真波形各工作模式仿真波形l实测(现场验收)实测(现场验收)l实验总结实验总结l心得体会及待改进的问题心得体会及待改进的问题l功能扩展功能扩展实验报告要求(供参考)实验报告要求(供参考)51

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!