基于vhdl的异步串行通信电路设计

上传人:you****now 文档编号:226053438 上传时间:2023-08-04 格式:DOCX 页数:9 大小:32.53KB
收藏 版权申诉 举报 下载
基于vhdl的异步串行通信电路设计_第1页
第1页 / 共9页
基于vhdl的异步串行通信电路设计_第2页
第2页 / 共9页
基于vhdl的异步串行通信电路设计_第3页
第3页 / 共9页
资源描述:

《基于vhdl的异步串行通信电路设计》由会员分享,可在线阅读,更多相关《基于vhdl的异步串行通信电路设计(9页珍藏版)》请在装配图网上搜索。

1、基于VHDL的异步串行通信电路设计1 引 言 随着电子技术的发展,现场可编程门阵列 FPGA和复杂可编程逻辑器件CPLD的出现,使得电子系统的设计者利用与器件相应的电子CAD软件,在实验室里就可以设计自己的专用集成电路ASIC器 件。这种可编程ASIC不仅使设计的产品达到小型化、集成化和高可靠性,而且器件具有用户可编程特性,大大缩短了设计周期,减少了设计费用,降低了设计风 险。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上至下地逐层完成相应的描述综合优化仿真与验证,直到生成器件,实现电 子设计自动化。其中电子设计自动化(EDA)的关键技术之一就是可以用硬件描述语言(HD

2、L)来描述硬件电路。 VHDL是用来描述从抽象到具体级别硬件的工业标准语言,它是由美国国防部在80年代开发的HDL,现在已成为IEEE承认的标准硬件描述语言。VHDL 支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模设计的分解和已有设计的再 利用等优点。利用VHDL这些优点和先进的EDA工具,根据具体的实际要求,我们可以自己来设计串口异步通信电路。 广告插播信息维库最新热卖芯片: EL1501CMEL7457CULT1640ALCS8LTC1439CGMAX241EEAIAD9203ARUZQMV72DP5IRF7459M

3、AX706CPAPBL3770A2串口异步通信的帧格式和波特率 2.1 串行异步通信的帧格式 在串行异步通信中,数据位是以字符为传送单位,数据位的前、后要有起始位、停止位,另外可以在停止位的前面加上一个比特位(bit)的校验位。其 帧格式如图1所示。 起始位是一个逻辑0,总是加在每一帧的开始,为的是提醒数据接收设备接收数据,在接收数据位过程中又被分离出去。数据位根据串行通信协议, 允许传输的字符长度可以为5、6、7或8位。通常数据位为7位或8位,如果要传输非ASCII数据(假如使用扩展字符设置的文本或者二进制数据),数据位 格式就需要采用8位。数据位被传输时从一个字符的最低位数据开始,最高位数

4、据在最后。例如字母C在ASCII表中是十进制67,二进制的 01000011,那么传输的将是11000010。校验位是为了验证传输的数据是否被正确接收,常见的校验方法是奇、偶校验。另外校验位也可以为0校验 或者1校验,即不管数据位中1的个数是多少,校验位始终为0或者1,如果在传输的过程中校验位发生了变化,这就提示出现了某类错误。不过,在传输数据的时 候,也可以不用校验位。停止位,为逻辑1,总在每一帧的末尾,可以是1位、1.5位或者2位。最常用的是1位,超过1位的停止位通常出现在这样的场合:在 处理下一个即将发送来的字符之前接收设备要求附加时间。 2.2 串行异步通信的波特率 串行口每秒发送或接

5、收数据的位数为波特率。若发送或接收一位数据需要时间为t,则波特率为1/ t,相应的发送或接收时钟为1/t Hz。发送和接收设备的波特率应该设置成一致,如果两者的波特率不一致,将会出现校验错或者帧错。 3 串行发送电路的设计 为简化电路设计的复杂性,采用的帧格式为: 1位开始位+8位数据位+1位停止位,没有校验位,波特率为9600。 3.1 波特率发生器的设计 要产生9600波特率,要有一个不低于9600 Hz的时钟才可以。为产生高精度的时钟,我选了6MHz(6M能整除9600)的晶振来提供外部时钟。当然,你也可以选其它频率的时钟来产生9600 Hz的时钟。对于6MHz时钟,需要设计一个625进

6、制的分频器来产生9600波特率的时钟信号。用VHDL设计分频器较简单,在这里就不再给出源程序 了。 3.2 发送电路的设计 根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻 辑1,然后等候下次的发送。下面是实现上述功能的VHDL源程序: library ieee; use ieee.std_logic_1164.all; entity Com is port(clk,en:in std_logic; Send_data:in std_logic_vector(9 downto 0); serial:out

7、std_logic); 并en秋d 狗co门m;弓需ar鹊ch混it尝ec薪tu肌re亦 c刮om受_a异rc俊 o盆f 品co蛋m 衔is互均be牛gi扇n 俭pr荣oc湖es钟s(岂cl惊k)怕身va墨ri洗ab土le千 c裁ou长nt恨:i巴nt昆eg闹er驱 r茄an直ge越 0肌 t马o 感9 税:=显0;瓜滩be胳gi阵n 锻if束 e锡n=织0目 旬th镜en非捎co衔un泻t:千=0不; 昼se泼ri夹al级=露1伍;盾缠el裙si数f 束ri重si灵ng峡_e劣dg膨e(侦cl理k)磨 t纲he疫n 昨if右 c茶ou滥nt探=9籍 t斤he将n 棚se扬ri谋al活=谣Se

8、待nd妈_d抹at过a(丹9)择; 什el采se目穗se容ri嗓al饶=援Se浊nd航_d惕at旋a(胡co野un种t)躁; 糕co爪un界t:镇=c否ou亮nt亏+1边; 惹en认d 既if貌; 粘en替d 唐if疼; 很en凶d 昨pr送oc绸es民s;司况en喷d 编co顶m_甜ar挣c;燃突其中仇,S甜en野d_朽da幅ta阵(0串 t丝o 游9)诉表示您需要少发送妄的数驰据帧翠,发脑送时专,开红始位精Se代nd印_d蕉at题a(纠0)持必须沈为逻翁辑0奸,停赞止位项Se车nd勺_d衫at愚a(早9)挖必须莲为逻用辑1雪,否内者与宾硬件下电路卷连接顶的设批 备笋接收寸到的辫数据扰会出秘

9、现错提误。范在发绘送每衡一帧定之前江,首伤先给弟输入志端e盾n一村个低撇电平老脉冲否,让倘电路瘦复位递(c州ou那nt迁置0呀),紫然后洋开始俩发送扔。变狠量c达ou圣nt单 在窄进程紫中用蒙来记永录发鸟送的绢数据烧数目凳,当去数据孔帧发枕送完哨后,猴发送鼠端就丽一直浊发送猎停止奖位(恢逻辑型1)笑。 批3.潮3 范时序扩仿真昆虚选E黑DA牙工具涂,对财VH产DL枝源程删序编烤译。均用的赔是 扇Al逆te声ra乎公司都的M暴AX货+p毙lu移s 仆II味冠9.共3 教Ba碑se芳li魄ne幻,这落个工彩具支栽持V伶HD多L的瑞编译汁、仿运真。弹图2尺是编妙译后匆的仿桑真结扶果,乳其中膊,C徒l

10、k塘为频轻率9屡60膀0H翻z的梢时钟溜,S贫en剃d_险da嫁ta坚0为波开始纵 位贴,S细en蔬d_勤da恩ta麻8怀.念0菜为数滴据位待, 增Se术nd贷_d务at捏a9叠为停茶止位垂。结乐果显庄示,犁输出呼完全亡是按病数据抬帧格张式发费送的绒。幅4 演串行糠接收还电路榜的设碰计 撕接收袭电路交比发仆送电挽路要唐复杂肉,接丧收电芒路要绸时实零检测讯起始资位的渣到来貌,一以旦检卵测到挤起始辈位到蔬,就戏要将鸟这一啄帧数叠据接依收下军来。抵为提业高接叮收的研准确匪性,总减少蛇误码轧率,暑每 夜一位肆数据捏都用削3倍醉频的脊波特辉率对彼数据亏进行领采样恒(如藏图3冲所示晚),剪然后沾对3位次采

11、唇样结掠果进浩行判粘决:甩如果牙3次秧采样公中至烈少有裂2次厌为高逃电平斑,则顿接收益这一拿位数勺据被高判决喘为高域 电唱平,斧否者高,为携低电割平。刻4.其1 惊波特猜率发醒生器徒和采丢样时山钟的象设计枝秘为完掉成3舞次采剪样,杯除了铸频率害为9眠60绍0H稿z的轻接收悲时钟词外,扎还要价有一控个3唇倍频冷的采证样时傍钟。价下面晴是实填现上脂述功家能的慧VH梅DL双源程篮序:挨晨li酿br缺ar腥y 单ie迫ee光; 缴us杏e 股ie淹ee孩.s思td耍_l惜og疏ic临_1风16播4.疏al辩l;即删en驶ti银ty颜 c耳ou汽nt代62馋5 次is伞靠po吉rt被(c鹅lk较,e咽n

12、:您in蓄 s招td致_l虽og爷ic揉; 卧Cl础oc幻k1红,C栏lo镰ck钩3:枝ou缺t 涉st索d_糕lo杀gi狗c)遵; 期en床d 分co惯un棋t6售25问; 困ar治ch穴it纠ec骨tu影re狱 c惭ou更nt矛62摩5_呆ar稳c 吉of怒 c敞ou悉nt贺62土5 未is爆肌be捉gi嘉n 乒pr距oc抚es超s(网cl烧k,洪en需) 感va蜜ri浆ab初le替 c剥ou抚nt简:i屋nt裙eg叔er姻 r眠an附ge洗 0鉴 t蜘o 会62唱5 奇:=筝0;棵适be兄gi前n 洲if笨 e虏n=炊0当 访th高en道话NU范ll毯; 哗el封si瓶f 季(r拨is抖

13、in昌g_烦ed训ge捎(c围lk绞)确 t喉he沫n 抓co伸un沈t:遭=c坝ou厉nt暮+1才; 止if筛 c割ou足nt滚=6周25绣 t沟he析n 肝Cl象oc蜂k1蛋=和1周;减 c镜ou雷nt链:=殃0;抓诵el彻se俊怜Cl顾oc砌k1蛙=软0颗;悬荒en桃d 吐if再; 掀if权 (天co湖un撞t=洒10泻0 件or屡 c堆ou问nt不=3积00留 o齐r 年co启un泊t=亭50卷0 驰) 妄th匪en迎柜Cl帽oc持k3非=长1慈;辩差el闷se宵献Cl技oc趴k3除=忙0艇;相厉en刮d 奶if戒; 辜en值d 拆if纯; 岗en俱d 座pr积oc售es钉s;情裤en

14、非d 携co丑un贵t6很25报_a修rc挂; 赌其中痕cl薯k为招6M勒Hz受的时约钟;塞en料控制公波形透的产垦生;萌 C振lo录ck哲1为剧96乘00绩Hz璃的接徐收时另钟;拐 C腐lo铁ck涌3为禁3倍悉频的追采样其时钟薪。 武4.验2 仆接收搁电路赌的设眼计 楚串行静接收印电路祸首先痛要能粱判断晒接收涛数据烂的到谎来,菊即每狮一帧李的开吊始,肠然后忘对数样据进箱行3序次采糊样,虾最后疤判决紧输出掉。为蜘简化芬设计喝,帧血格式钩仍然订采用鲜1位娃开始毁位+绣8位雨 数瓣据位陡+1穷位停厨止位粉。下仪面是酿设计腿的接街收电磨路V主HD类L程维序:贤供li坑br识ar冰y 君ie稼ee兴;

15、 珠us惰e 叛ie争ee蒸.s货td拴_l尽og盒ic妥_1野16猾4.贩al巩l;挎糊en叠ti需ty胜 c自om搂_r涌ec基ei根ve兆10育 i满s 郊po咏rt仗(c爹om垂,c站lr耐,c币lk胞1,个cl站k3迟:i践n 谨st摊d_墨lo袍gi犁c;浇Q:累ou乞t 满st玉d_躬lo胃gi年c_碍ve截ct妹or伶(0祥 t米o 蛮9)勿;V土al浓id畏:o抚ut休 s洗td庙_l茎og旗ic州);号矩en谎d 斗co毙m_竟re狠ce糠iv律e1颈0;刑鞋ar躲ch信it虚ec测tu缓re棕 c声om拼_r贫ec寇ei悟ve穿10送_a吧rc外 o捧f 亭co客m_括r

16、e亏ce接iv拦e1见0 老is柿史Si赚gn离al董 E第na尝bl舅e:闭st剩d_仅lo编gi佣c 抖:=丢1盒;犬详Si算gn资al懂 H粪ol吗d:些st颈d_英lo稿gi杨c 碌:=层0域;埋妈Si王gn稿al搭 N献:s株td谁_l谁og这ic揪_v鸣ec笼to形r(困0 视to补 2周) 偶:=醒0商00珍;洋正be笨gi丰n 仆Va冷li旗d残=E秃na企bl龙e 马an奶d 输Ho京ld狗; 晃pr迹oc宽es乌s(你cl状k1营,c级lr袍) 祝va存ri苍ab妨le承 N颤um坡:i嫌nt夫eg宗er林 r刻an膝ge暗 0礼 t呀o 品9 堆:=思0;给奇be阻gi头

17、n 帆if隆 c推lr左=涨0榨 t爹he肥n 影En摸ab戚le跟=婶1叉 楼; 晌Nu正m:宋=0证; 摩Q搅=狸00江00暮00粪00耍00阵;鸣猾el订si米f 资(r辛is帽in带g_择ed搏ge补(c而lk私1)俩) 薪th送en腊定Q(孤Nu勺m)怎=弯(N指(0董) 腾an辜d 捐N(潮1)报) 渴or幸 (幅N(尊1)版 a葱nd休 N铁(2差)淹 o励r 等(N岗(0会) 胡an陪d 励N(目2)贷);政辛if透 N倘um径=9拣 t寻he锐n 参En恳ab创le旷=揪0鱼;习 N推um偿:=典0;刮鹊el愧se巷垄Nu灵m:蝇=N盼um晓+1坑; 续en酒d 亿if数;

18、笼en披d 筐if帽; 踪en亲d 贡pr谋oc放es慨s;怕谣pr岛oc洞es寺s(鱼cl姻k3灿,c剑lr昨) 道va订ri幻ab巡le错 m踪:i啦nt咐eg肤er妥 r抽an栋ge强 0殊 t索o 柔2 根:=绒0;劝通be狂gi苹n 缝if乖 c汤lr乎=渔0溪 t拢he杨n 廊m:罗=0却; 诵el堤si虑f(夸ri播si绍ng嫩_e翻dg惩e(朽cl揭k3却)里 t袭he锈n 熊N(虚m)击=披co斩m;炎窗if涨 m邻=2垃 t肺he埋n 失m:悄=0俗; 天el仍se波座m:兵=m漠+1舱; 及en管d 灾if糖; 沃en旱d 取if构; 获en丰d 椅pr袄oc镜es陆s;

19、妄汽pr奴oc踢es酿s(环cl束r,辈co宴m)任鼓be枝gi抽n 垂if趁 c完lr输=薄0加 t谨he无n 吐Ho吴ld峰=萌0成;日云el做si凳f 请fa温ll呢in锦g_粪ed功ge照(c题om酬) 尚th四en手扮Ho星ld才=菌1唐;产讨en苹d 甘if斧; 污en劈d 无pr祝oc消es己s;我惯en夫d 讽co逼m_柿re贩ce建iv婚e1雾0_锡ar企c;蹦阔其中拔,N返(m悬)愚=c喉om嫌 用屿来对膀波形刺采样曲;Q姜(N灵um男)伯=(吉N(寄0)枝 a岭nd虚 N影(1阳)台 o表r 骡(N宵(1勇) 教an陈d 造N(洒2)挣) 刊or话 (茅N(夏0)穴 a廉

20、nd紫 N幕(2羡)辆是对初其中溪1位且数据巴的3弱次采况样结驶果判兴决;找Nu捉m用济来记矩录接咏收的仰数据缠位数供;f眠al扇li窄ng竟_e缘dg却e(吴co把m)株是用薪来时叛实检萍测每纳一帧熟的起叠始位源(即讽下 抹降沿咐)的木到来职;V窃al拌id均=肃En竹ab滋le握 a恳nd兼 H兔ol幕d用闷来输怪出到蓬波特袭率发婚生器夫电路刃单元润控制箩时钟趁的产哨生,蠢最后贷将一搏帧的码10欠位数轰据输寿出。夹迎用M门AX物+p跌lu风s 还II厨 9谎.3葬 B竹as域el字in层e将恒上面寺两个叨VH苦DL戴文件此制成栋库器段件,亚然后淘在电处路图棍上调维出来边,最丸后做停成的婆串

21、行稠接收蚊电路仆图如仗图4妹所示谱。 冶4.艳3 定时序妨仿真落炕时序曾仿真盈如图乳5所沸示,汽Re类ce急iv丽e为岂接收良到的卵序 摄列波凑形,猎最后霜结果铜:接跨收到声的数熟据位额为6猜D,弊起始瑞位为雄0,躲停止舒位为兽1。穗燥5 伙结束抖语 伏VH声DL特语言舱设计红的出拴现从疲根本李上改包变了幼以往凯数字节电路旦的设梁计模书式,旷使电套路设煮计由霸硬件角设计步转变绩为软停件设旋计,残这样举提高汁了设争计的缩灵活戏性,搏降低亮了电薄路的膨复杂可程 扮度,暖修改续起来穗也很闭方便肌。 活利用损VH帐DL央设计僚的灵展活性立,根起据串恳行通洽信协双议的骄要求客,可盆以在劳实验赵室利哪用先

22、肢进的论ED途A工稍具,趋用V陪HD佳L设挪计出嚷符合惊自己缓实际皱需求模的异亚步串湿行通绕信电傅路。梁粒本文慢设计汽出的样基于飞VH铃DL撤异步悼串行被通信庭电路但,在绞实验踏室已辆经与崖计算蚊机串俩口芝RS窝-2析32悦进 洋行了崖通信立实验庸(注朱意:滨TT满L和抵RS迁-2翁32兆逻 攻辑电问平的煌转换证)。策实验洒证明欧,0威至2西55籍的所夜有数脚据都直能被戒正确仍收、捎发。炸枯参考蹈文献佣: 姐1曲 贫AR软MS废TR最ON插G 筋J 吗R,匠 F良RA蔬Y 捐F 钩G.永 V碧HD浩L设劫计表阵示和桂综合技M中.抢李宗候伯,最王蓉述晖译幕.北己京:杠机械哄工业愈出版谅社,胶湾20甘02澡. 赛2末 旷SK定AH抱IL谋L 货K.描可编低程逻荷辑系睛统的祝VH茅DL冈设计客技术明M灭.眉朱明蛙程,繁孙普朱译.沸南京锈:东追南大犬学出代版社扑,1比99叶8.塔帖3呜 性仇玉粘章.桌 微枝型计附算机遇系统华接口描技术钳M锣.统 南优京:向江苏侵科技挑出版钱社,左19更97挣.

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!