第3章可编程逻辑器件的工作原理

上传人:Sc****h 文档编号:223188071 上传时间:2023-07-16 格式:PPT 页数:53 大小:1.83MB
收藏 版权申诉 举报 下载
第3章可编程逻辑器件的工作原理_第1页
第1页 / 共53页
第3章可编程逻辑器件的工作原理_第2页
第2页 / 共53页
第3章可编程逻辑器件的工作原理_第3页
第3页 / 共53页
资源描述:

《第3章可编程逻辑器件的工作原理》由会员分享,可在线阅读,更多相关《第3章可编程逻辑器件的工作原理(53页珍藏版)》请在装配图网上搜索。

1、 第第3章章 可编程逻辑器件的可编程逻辑器件的工作原理工作原理 集成电路的分类集成电路的分类(按制作方式按制作方式)IC:IntegratedCircuit集成电路的分类集成电路的分类(按集成度按集成度)n小规模集成电路小规模集成电路(SSI:Small Scale(SSI:Small Scale Integrated Circuit):Integrated Circuit):逻辑门逻辑门1010个以下或晶个以下或晶体管体管100100以下以下;n中规模集成电路中规模集成电路(MSI:Medium):(MSI:Medium):逻辑门逻辑门1010100100个个,晶体管晶体管100100100

2、01000个个;n大规模集成电路大规模集成电路(LSI:Large):(LSI:Large):逻辑门逻辑门10010010001000个个,晶体管晶体管10103 310104 4个个;集成电路的分类集成电路的分类(按集成度按集成度)n超大规模集成电路超大规模集成电路(VLSI:Very Large):(VLSI:Very Large):逻逻辑门辑门10103 310104 4个个,晶体管晶体管10104 410105 5个个;n甚大规模集成电路甚大规模集成电路(ULSI:Ultra Large):(ULSI:Ultra Large):逻逻辑门辑门10105 510106 6个个,晶体管晶体管

3、10106 610107 7个个;n巨大规模集成电路巨大规模集成电路(GLSI:Giga Large):(GLSI:Giga Large):逻逻辑门辑门10106 6个以上个以上,晶体管晶体管10107 7个以上个以上。3.1概述概述3.1.1可编程逻辑器件的发展可编程逻辑器件的发展早期是存储器:早期是存储器:n只读存储器(只读存储器(PROM)n紫外线可擦除只读存储器(紫外线可擦除只读存储器(EPROM)n电可擦除只读存储器(电可擦除只读存储器(EEPROM)PROM编程原理编程原理其后出现了结构复杂一些的可编程逻辑器其后出现了结构复杂一些的可编程逻辑器件(件(PLD:Programmabl

4、e Logic Devices)n可编程阵列逻辑可编程阵列逻辑PAL门(门(Programmable Array Logic)n通用阵列逻辑通用阵列逻辑GAL门(门(Generic Array Logic)n任何一个组合逻辑都可以表示为乘积项之任何一个组合逻辑都可以表示为乘积项之和的形式,例如:和的形式,例如:f=(A+B)C D=A C D+B C DGAL门门GAL16V8n采用与阵列可编程、采用与阵列可编程、或阵列固定的结构;或阵列固定的结构;n增加了输出逻辑宏增加了输出逻辑宏单元(单元(OLMC););n逻辑宏单元:逻辑逻辑宏单元:逻辑资源的最小单位;资源的最小单位;n采用了采用了EE

5、PROM工工艺;艺;2020世纪世纪8080年代中期开始出现年代中期开始出现nEPLD:Erasable Programmable Logic Device(类似于类似于PAL门的扩展结构门的扩展结构)n1985年,美国年,美国Xilinx公司推出第一个现场可公司推出第一个现场可编程门阵列(编程门阵列(FPGA)芯片)芯片 Field Programmable Gate Array目前最主要的可编程逻辑器件有:目前最主要的可编程逻辑器件有:nFPGA:现场可编程门阵列现场可编程门阵列 Field Programmable Gate ArraynCPLD:复杂的可编程逻辑器件复杂的可编程逻辑器件

6、 Complex Programmable Logic Device组合逻辑实现方法组合逻辑实现方法nPT-based:基于乘积项基于乘积项 Product Term-based Building BlocknLUT-based:基于查找表基于查找表 Look-up Table-based Building Block基于查找表基于查找表FPGA查找表单元内部结构现场可编程性现场可编程性n所谓现场可编程性就是指用户任何时候所谓现场可编程性就是指用户任何时候都可以通过电路板上的下载电缆或硬件都可以通过电路板上的下载电缆或硬件编程器来修改编程器来修改FPGA/CPLD的配置,以达的配置,以达到修改

7、自己设计的目的。到修改自己设计的目的。FPGA/CPLD设计的一般流程设计的一般流程软件中的功能合并软件中的功能合并全定制IC基于单元的IC基于门阵列的IC高密度的PLD速度集成密度大批量的费用小批量的费用投放市场时间降低风险未来的修改开发工具教学目标几种集成芯片的性能比几种集成芯片的性能比较较:性能好 :性能很好 目前比较典型的器件有:目前比较典型的器件有:nXilinx公司的公司的FPGA/CPLD系列器件系列器件nAltera公司的公司的FPGA/CPLD系列器件系列器件nLattice公司的公司的ispLSI系列系列 3.1.2 PLD厂商及其产品厂商及其产品3.1.2 PLD厂商及其

8、产品厂商及其产品nXilinx公司公司n创新概念起始于创新概念起始于1984年,目标是要将年,目标是要将LSI/VLSILSI/VLSI门阵列技术的高逻辑密度和通用门阵列技术的高逻辑密度和通用性性与与用户现场可编程部件的设计灵活、上用户现场可编程部件的设计灵活、上市速度快及成品的有效性市速度快及成品的有效性结合起来。结合起来。n1985年,年,Xilinx公司推出了世界上第一个公司推出了世界上第一个FPGA器件。器件。n产品发展产品发展:XC3000,XC4000,XC5200,Virtex系列系列,Spartan系列等,目前主流产品系列等,目前主流产品 Spartan6系列、系列、Artix

9、7系列、系列、Zynq-7000等等nAltera公司公司n主要产品主要产品:MAX系列系列,FLEX系列系列,APEX系系列列,Stratix系列和系列和Cyclone系列。系列。nMAX系列产品为系列产品为CPLD结构,编程信息以结构,编程信息以EEPROM方式保存。方式保存。nFLEX系列、系列、Cyclone系列系列产品为产品为FPGA,通过内部存储器单元阵列存储编程信息。通过内部存储器单元阵列存储编程信息。Altera公司主要软件开发工具:公司主要软件开发工具:nMAX+PLUS nQuartus(Quartus Prime)nSOPC BuildernDSP Builder3.1.

10、3 FPGA和和CPLD之间的差别之间的差别 FPGA CPLD实现组合逻辑的方式不同实现组合逻辑的方式不同内部结构不同内部结构不同 FPGA CPLDFPGA与与CPLD的不同特性的不同特性n逻辑单元的粒度不一样,设计灵活性不同;逻辑单元的粒度不一样,设计灵活性不同;nFPGA芯片的逻辑门密度比芯片的逻辑门密度比CPLD芯片高;芯片高;n内部连线结构不同;内部连线结构不同;n时序延迟的特性不同;时序延迟的特性不同;nCPLD更适合于完成各类算法和组合逻辑,而更适合于完成各类算法和组合逻辑,而FPGA更适合于完成时序较多的逻辑电路;更适合于完成时序较多的逻辑电路;nCPLD是非易失性器件,是非

11、易失性器件,FPGA是易失性器件是易失性器件;nCPLD中触发器有限,乘积项丰富,中触发器有限,乘积项丰富,FPGA触触发器丰富。发器丰富。3.1.4 FPGA/CPLD的优点的优点nFPGA/CPLD芯片的集成度越来越大;芯片的集成度越来越大;n研制开发费用相对较低;研制开发费用相对较低;n可以反复编程、擦除和使用;可以反复编程、擦除和使用;n设计周期短;设计周期短;3.2 Xilinx FPGA 结构简介结构简介n以以Xilinx公司的公司的XC3000系列产品系列产品为例为例XC3000 FPGA结构图结构图XC3000的结构主要包括四个部分的结构主要包括四个部分:n可编程逻辑块可编程逻

12、辑块CLB(Configurable Logic Block)n可编程输入输出模块可编程输入输出模块IOB(Input/Output Block)n可编程内部连线可编程内部连线PI(Programmable Interconnect)n可配置存储器(可配置存储器(SRAM阵列)阵列)两个创新概念:两个创新概念:一、具有逻辑单元阵列结构一、具有逻辑单元阵列结构LCA(Logic Cell Array),因此具有),因此具有门阵列门阵列及及可编程可编程的双重特性;的双重特性;二、逻辑功能的实现是通过对二、逻辑功能的实现是通过对可配置存储可配置存储器的配置器的配置来完成的来完成的。可编程逻辑块可编程

13、逻辑块CLB CLB组合逻辑的三种结合方式组合逻辑的三种结合方式 可编程输入输出模块可编程输入输出模块IOB 可编程内部连线可编程内部连线PI 3.3 Altera 产品结构简介产品结构简介n以以Altera公司的公司的FLEX 10K系列产品系列产品为例为例FLEX 10K结构图结构图FLEX 10K系列器件主要组成系列器件主要组成部分部分n嵌入式阵列:由嵌入式阵列:由嵌入式嵌入式阵阵列列块块(EAB:Embedded Array Block)构成)构成 n逻辑阵列:逻辑阵列:由一系列的由一系列的逻辑阵逻辑阵列列块块(LAB)构成的)构成的 n快速通道(快速通道(FastTrack)nI/O

14、单元单元 n(内部有内部有SRAM,图中未画出,图中未画出)EAB结构结构LAB结构结构LE结构结构快速通道结构快速通道结构I/O单元结构单元结构其他结构特性其他结构特性n时钟锁定和时钟自举时钟锁定和时钟自举 n集电极开路输出选择集电极开路输出选择 n多电压多电压I/O接口接口 nJTAG边界扫描边界扫描 联合测试行动组(联合测试行动组(Joint Text Action Group)制定的一个用于测试硬件接口的)制定的一个用于测试硬件接口的标准。标准。Cyclone 产品简介产品简介nCyclone 是是Altera 公司提供的高性能、公司提供的高性能、系统成本最低和功耗最低的系统成本最低和

15、功耗最低的28nm工艺的工艺的FPGA;n集成了收发器和硬核存储器控制器;集成了收发器和硬核存储器控制器;n集成了基于集成了基于ARM的硬核处理器系统的硬核处理器系统(HPS:Hard Processor System););n广泛应用于工业、军事、自动控制、通广泛应用于工业、军事、自动控制、通信等领域。信等领域。Cyclone V E(A2)的)的资源资源n逻辑单元(逻辑单元(LE):):25Kn自适自适应逻辑应逻辑模模块块(ALM):9434n寄存器:寄存器:37736n存存储储器(器(Kb):):M10K:1760;MLAB:196n精度可精度可变变的的DSP块块:25n1818乘法器:乘法器:50nPLL:4n通用通用I/O接口(接口(GPIO):):224nLVDS:发发送器:送器:56;接收器:;接收器:56n硬核存硬核存储储器控制器:器控制器:1Cyclone V E的外部管脚的外部管脚

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!