电梯控制器的VHDL程序设计与仿真

上传人:94****0 文档编号:221552849 上传时间:2023-07-06 格式:DOCX 页数:124 大小:1.52MB
收藏 版权申诉 举报 下载
电梯控制器的VHDL程序设计与仿真_第1页
第1页 / 共124页
电梯控制器的VHDL程序设计与仿真_第2页
第2页 / 共124页
电梯控制器的VHDL程序设计与仿真_第3页
第3页 / 共124页
资源描述:

《电梯控制器的VHDL程序设计与仿真》由会员分享,可在线阅读,更多相关《电梯控制器的VHDL程序设计与仿真(124页珍藏版)》请在装配图网上搜索。

1、大红色要删去,下同论文题目:电梯控制器的 VHDL 程序设计与仿真专业:微电子学本 科 生:陈伟伟 (签名)_指导教师:岳改丽 (签名)_摘 要本设计(改为论文)主要介绍了基于 FPGA 设计的五层电梯控制器,采用 VHDL 语言进行编译,并进行了电路综合和仿真。该控制器具备了 FPGA 芯片的硬件体积小、 实时性好、工作效率高、提高系统的集成度等特点且遵循方向优先的原则,提供五个楼 层多个用户的载客服务,并指示电梯的运行情况。本设计的亮点是使用状态机的方法来 完成电梯的几个运行状态。(改,)将主控制器的状态分为 6 种,停止、等待、上升、连 续上升、下降及连续下降,状态的划分与楼层无关(增加

2、,)因此具有很强的通用性。 本课题试验调试平台是 Altera 公司的 Quartus 软件和 EDA 实验开发系统。(改,)通过 程序的调试和(改、)运行及仿真表明本设计可以完成电梯所在楼层显示和电梯运行方向 指示。关键字:FPGA,VHDL,电梯控制器,状态机ISubject: The study and simulation of elevator controller based on VHDL Specialty: MicroeletronicsName: Weiwei Chen (Signature) _Instructor: G aili Yue (Signature) _ABS

3、TRCTThis design introduces the five floors elevator controller compiled with VHDL and the synthesis and simulation of the circuit. The controller follows the direction of the principle of priority, to provide the service of multiple users, and indicates the operation of the lift. This controller has

4、 FPGA chip compact hardware, good real-time, high efficiency, improve the system integration characteristics .Using state machine is a strong point of this design. The main controller is divided into six states. The state has nothing to do with floors, so it has a strong common use. The debugging pl

5、atform of this topic is Altera Quartus software and EDA experiment development system. Through the process of debugging、running and the simulation shows that this design can finish the function of the elevator direction and floors display.KEY WORDS: FPGA, VHDL, Elevator Controller, State MachineII目录

6、1 绪论 .11.1 选题的背景 .11.2 电梯控制器的研究背景 .11.3 我国电梯的发展状况 .11.4 电梯设计的具体目的及控制要求 .22 设计基础依据(改为工具介绍) .42.1EDA 技术介绍.42.2FPGA 简介.62.2.1EDA 实验开发平台.72.2.2 EP2C5 核心板(字号?) .72.3 硬件描述语言 .82.3.1VHDL 和 VerilogHDL 比较 .82.3.2VHDL 语言的基本特点 .82.3.3 VHDL 的设计流程 .92.3.4 VHDL 程序的基本结构 .92.3.5 状态机的简介 .102.4 QuartusII 软件介绍 .113 电梯

7、控制器的设计及仿真 .143.1 电梯及电梯控制器的功能简介 .143.1.1 电梯的机械组成 .143.1.2 电梯控制器的工作原理 .153.2 电梯控制器的程序设计 .15III3.2.1 电梯的总体控制思想 .163.2.2 电梯控制器的程序流程图 .173.2.3 模块设计与实现 .183.3 程序调试与下载 .283.3.1 程序调试 .283.3.2 从设计文件到目标器件的下载 .293.3.3 加载及显示结果 .29结论 .33致谢 .错误!未定义书签。页码?参考文献 .错误!未定义书签。页码?附录 I 后要写名称,下同 .36附录 II .55附录 III.56 附录 IV.

8、57.IV1 绪论1.1 选题的背景随高层楼宇的增加,电梯越来越多的走进了人们的生活,对人们的生活的影响越来 越大。为了使电梯更好的服务人们,各种电梯新技术快速地发展起来。随着人们生活水 平的不断提高,经济的快速发展及生产生活的需要,城市高层建筑如雨后春笋拔地而起。 与此相应,作为一种可以垂直升降运输的工具一电梯也得到迅猛的发展。现在,电梯已 完全融入我们的生活、工作及学习当中,人们越来越离不开它。因此,它的安全可靠性、 迅速准确性、舒适性,对人们来说都是非常重要的。为了确保电梯正常运行、安全使用, 一般电梯都有专业的维修管理人员。他们必须对电梯原理、性能、特点、控制、运行都 要全面认识和掌握

9、,才能做到对电梯的正确使用、管理及维护。同时,对电梯操作人员 定期考核,让他们定期参加安全技术学习,扎扎实实地做好电梯维护和保养工作,才能 使人们平安长久安心地使用电梯。1.2 电梯控制的研究背景电梯控制系统是一个尤其复杂的逻辑控制系统系统同时要对几百个信号进行接收、 处理。由于用户对电梯功能的要求越来越高其相应的控制方式也在不断发生变化。随 着 EDA 技术的快速发展基于 FPGA 的微机化控制已经广泛应用于电梯电路设计及控制 的各个方面。1.3 我国电梯的发展概况电梯控制是属于机电一体化研究领域,它涉及到多个方面,包括:机械工程、电子 技术、电力电子技术、电机与拖动理论、自动控制理论、电力

10、拖动自动控制系统、微机 技术和土建工程等多个科学领域。100 多年来,我国电梯行业的发展经历以下几个阶段:对进口电梯的销售、安装、 维护阶段(1900-1949 年),这一阶段我国电梯拥有数量仅约 1100 多台;独立自主、艰 苦研制、生产阶段(1950-1979 年),这一阶段我国共生产安装电梯约 1 万台;建立三资 企业,行业快速发展阶段(自 1980 年至今),这一阶段我国共生产安装电梯约 40 万台。 目前,我国已经成为世界最大的新装电梯市场和最大的电梯生产国。并且还有着巨大市- 1 -设计思路各模块的设计方法场和发展潜力空间。2002 年,中国电梯行业电梯年产量首次突破 6 万台。中

11、国电梯行业 自改革开放以来第三次发展浪潮正在掀起。第一次出现在 1986-1988 年,当时适逢我国 高层建筑业的大规模浪潮的第一次兴起。第二次出现在 1995-1997 年。应该说,随着 我国经济的增长,电梯市场一直保持着旺盛的需求。其次表现在企业的规模效益逐步展 现。随着我国经济平稳持续的发展,尤其是住宅产业作为国民经济新增长点的提出,为 电梯业的发展提供了良好的机遇。今后几年,我国将年建住宅 3. 5 亿平方米,公建项目 1.2 亿平方米。随着城市向大型化、高层化的发展,我国每年将需要电梯在 40000 台以 上。如此大的市场需求,将是电梯业再创辉煌的最好契机。1.4 电梯设计的具体目的

12、及控制要求使用 VHDL 来完成 5 层电梯控制系统设计。可以使用状态机实现。要求指示电梯所 在楼层位置及电梯运行方向。通过仿真结果验证其正确性,并在 FPGA 开发板上进行硬 件测试。系统的要求如下:(1) 电梯共有 5 层。电梯运行规则:上升时:只响应比当前位置高的上楼要求,由 近及远的原则一次响应知道响应完最后一个请求;如果楼层有下楼请求,直接 升到由此请求的最高层,然后进入下降模式。进入下降模式后,只响应比当前 位置低的下楼请求,由上到下逐个执行。电梯有 5 层,每 1 秒上升或者下降 1 层。(2) 点阵模块指示电梯的运行方向,数码管显示电梯到达层数。(3) 有信号灯指示该层电梯门状

13、态,每层有两个按键分别响应上升或下降的请求 (4)电梯到达有请求的楼层后,电梯门打开,指示灯亮,5 秒后电梯门关闭,指示灯灭,直至执行完。最后停在发出最后一个请求的楼层。(5)电梯的初始位置为一层,处于开门状态。电梯控制器通过乘客在电梯内外的请求信号控制电梯的运行,上升或下降。用户在 电梯中选择所要到达的楼层,通过电梯主控制器的处理,电梯开始运行,状态显示器显 示电梯的运行状态,电梯当前位置通过数码管显示。- 2 -此模块是设计的核心,电梯控制器的请求信号分为上升请求和下降请求,电梯收到请求信号后,都必须做预操作。具体来说就是当电梯所在楼层低于发出电梯外部请求的楼层或者低于楼内请求所要达到的目

14、的楼层时,电梯必需在下一操作中上升,这时的请求信号就是上升信号。反之,则是下降信号。当电梯在一楼时,不管电梯内部还是外部,电梯只能收到上升请求信号,此时电梯进入预上升状态,准备上升,如果没有收到上升请求,则电梯待在一楼。当电梯在二、三、四楼时,如电梯外部内部均没有收到任何请求,电梯将在当前楼层楼待机;如电梯接收到上升请求信号,则进入预上升状态;如电梯接收到下降请求信号,电梯进入预下降状态。当电梯在五楼时,电梯只能接收到下降请求信号,此时电梯进入预下降状态,准备下降,没有收到请求信号则在五楼待机。1)控制模块2) 数码管显示模块用来显示当前所在楼层。3) 点阵模块用箭头向上或向下显示当前电梯运行

15、方向。 4)按键模块用来表示电梯内的按键。5)用电机的转动来模拟电梯的运行过程。这部分应在第三章写本书分为 4 章。第 1 章对本设计进行了概述,对电梯控制器的研究背景、发展状况、 、研究目的和设计的要求进行了简单描述;第 2 章主要介绍了电梯控制器的设计依据, 分别对 EDA、FPGA、VHDL 和 Quartus 工具进行了简单的介绍;第 3 章主要介绍电梯 控制器的程序设计及仿真。对电梯控制器的各个模块分别进行介绍,着重讲述了电梯主 控制器的原理及设计思路。- 3 -2 设计基础依据2 .1 EDA 技术介绍EDA 概述用三级目录描述即 2.1.1EDA 在通信行业(电信)里的另一个解释

16、是企业数据架构,EDA 给出了一个企业级 的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。EDA 是 电子设计自动化(Electronic Design Automation)的缩写,在 20 世纪 60 年代中期从计算 机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机 辅助工程(CAE)的概念发展而来的。1 什么是 EDA20 世纪 90 年代,在国际上电子和计算机技术较先进的国家,一直积致力于极积探 索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的改革,而且取得了 巨大成功。在电子技术设计领域,可编程逻辑器件(如 CPLD 、

17、FPGA )的应用已经得 到了广泛的普及,这些器件为数字系统的设计带来了非常大的大的灵活性和方便性。这 些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而可以使得硬件的设 计如同软件设计那样方便快捷。这一切都极大地改变了传统的数字系统设计方法、设计 观念和设计方法,促使了 EDA 技术的迅速发展。EDA 技术是以计算机为工具,设计者在 EDA 软件平台上,用硬件描述语言 HDL 完成文件设计,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、 布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减

18、轻了设计者的劳动强度。 利用 EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量 的工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出 IC 版 图或 PCB 版图的整个过程在计算机上自动处理完成。现在对 EDA 技术应用非常广泛。 包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都 有 EDA 的应用。目前 EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。 例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到 EDA 技术。- 4 -2(2.1.2)EDA 的特点(1) 高 层 综 合 和 优

19、化为 了 能 更 好 地 支 持 自 顶 向 下 的 设 计 方 法 , 现 代 的EDA 工 具 能 够 在 系 统 进 行 综合 和 优 化 , 这 样 就 缩 短 了 设 计 的 周 期 , 提 高 了 设 计 效 率 。(2) 采 用 硬 件 描 述 语 言 进 行 设 计采用硬件描述语言进行电路与系统的描述是当前 EDA 技术的另一个特征。与传统 的原理图设计方法相比,HDL 语言更适合描述规模大的数字系统,它能够使设计者在 比较抽象的层次上对所设计系统的结构和逻辑功能进行描述。采用 HDL 语言设计的突 出优点是:语言的公开性和利用性;设计与工艺的无关性;宽范围的描述能力;便于组

20、织大规模系统的设计;便于设计的复用,交流,保存和修改等。目前最常用的硬件描述 语言有 VHDL 和 Verilog HDL,它们都已经成为 IEEE 标准。( 3 )开 放 性 和 标 准 化现代 EDA 工具普遍采用标准化和开放性框架结构,任何一个 EDA 系统只要建立了 一个符合标准的开放式框架结构,就可以接纳其他厂商的 EDA 工具仪器进行设计工作。 这样就可以实现各种 EDA 工具的优化组合,并集成在一个易于管理的统一环境下,实 现资源共享。3 EDA 的应用随着电子技术的发展,可编程逻辑器件和 EDA 技术已广泛应用于通信、工业自动 化、智能仪表、图像处理、计算机等领域。EDA(El

21、ectronincDesign Automation,电子设计 自动化)技术是现代电子工程领域的一门新技术,它提供了基于计算机和信息技术的电 路系统设计方法。EDA 技术的发展和推广应用极大地推动了电子工业的发展。随着 EDA 技术的发展,硬件电子电路的设计几乎全部可以依靠计算机来完成,这样就大大 缩短了硬件电子电路设计的周期,从而使制造商可以快速开发出品种多、批量小的产品, 以满足市场的众多需求。EDA 教学和产业界的技术推广是当今世界的一个技术热点, EDA 技术是现代电子工业中不可缺少的一项技术。图 2-1 为采用 EDA 设计的总流程- 5 -概念提出与系统定义高层建模系统仿真验证分析

22、和优化转换低层建模物理实验真实测试投入使用电路仿真验证分析和优化图 2-1 EDA 设计流程图2.2 FPGA 简介FPGA 是 FieldProgrammable Gate Array(现场可编程门阵列)的缩写,它是在 PAL、GAL、CPLD 等可编程器件的基础上进一步发展的产物。它是专用集成电路中一 种半定制电路。克服了传统可编程器件数有限的缺点。FPGA 器件是由美国 XILINX 公司于 1985 年首先推出。一般把 FPGA 定义为基于 查找表技术(LOOK-UP Tablc,LUT)和 SRAM 工艺的 PLD,目前的 FPGA 已远超出 先前产品的基本功能,并且整合了许多常用功

23、能(如 RAM、时钟管理和 DSP),在新型 的的系统级可编程逻辑器件中还可以以 IP 核的形式来进行功能设计,或许只需要一块 FPGA 芯片就可以实现所有功能,成为片上系统。FPGA 芯片的结构如图 2-2 所示。- 6 -图 2-2 FPGA 芯片结构图近几年来 FPGA 有了很快的发展,按照传统观点,FPGA 仅用于产品原型设计和 ASIC 的验证,用于大规模的数字系统开发就过于昂贵且功耗巨大。随着 FPGA 的研究突 破了低功率、低成本和先进工艺的瓶颈后,FPGA 的应用领域日益扩大,随着功能和性能 的进一步提高,FPGA 应用领域已成可编程逻辑领域扩展到了高性能 DSP、高性能嵌入式

24、 等领域。2.2.1 EDA 实验开发平台EDA 实验开发平台提供了丰富的资源供学生或开发人员使用,资源包括接口通信、 控制、存储、数据转换以及人机交互显示等几大模块,接口通信模块包括 SPI 接口、 IIC 接口、VGA 接口、RS232 接口、网络接口、USB 接口、PS2 键盘/鼠标接口、1 Wire 接口等;控制模块包括直流电机、步进电机和交通灯的控制模块等;存储模块包 括 EEPROM 存储模块等;数据转换模块包括串行 ADC、DAC、高速并行 ADC、DAC 以及音频 CODE 等;人机交互显示模块包括 8 个按键、12 个拨动开关、12 个 LED 发光 二极管显示、4*4 键盘

25、阵列、128*240 图形点阵 LCD、8 位动态 7 段数码管、16*16 点阵、 实时时钟等。2.2.2 EP2C5 核心板EP2C5 核心板为基于 Altera Cyclone II 器件的嵌入式系统开发提供了一个良好的硬- 7 -件平台,它可以为设计人员提供以下资源。1 主芯片采用 Altera Cyclone II 器件 EP2C5Q208C82 EPCS118 配置芯片3 标准 AS 编程接口和 JTAG 调试接口4 50MHz 高精度时钟源5 三个高密度扩展接口6 支持上电复位电路7 支持+5V 直接输入,板上电源管理模板2.3 硬件描述语言硬件描述语言 HDL 是一种用形式化方

26、法描述硬件电路系统的语言。利用这种语言 硬件电路系统的设计可以从上层到下层逐层描述设计思想,用一系列分层次的模块来表 示复杂的系统。然后利用 EDA 工具逐层进行仿真验证,生成实际的电路模块组合,再 经过自动综合工具转换成门级电路网表。2.3.1 VHDL 和 VerilogHDL 比较硬件描述语言经过几十年的发展种类繁多。目前比较有代表性的硬件描述语言有 VHDL、VerilogHDL。目前 VHDL 和 VerilogHDL 作为 IEEE 工业标准硬件描述语言,得到了众多 EDA 公 司的支持。VHDL 和 VerilogHDL 两者相比,前者使用的较多,但对于初学者来说后者 更易学习。

27、2.3.2 VHDL 语言的基本特点VHDL 能成标准化的硬件描述语言又得到广泛应用,正式?因为有如下优点。(1) 功能强大和设计灵活。VHDL 拥有强大的语言结构,可以用简洁的程序描述复杂 的逻辑控制。(2) 与具体器件无关。设计人员采用 VHDL 设计硬件电路时,并不需要一开始确定 采用哪些器件,也不需要了解器件的内部结构。这样设计人员就可以把精力集中 在系统的设计方面。设计完成后,可根据设计选择合适的器件。(3) 很强的移植能力。VHDL 语言的移植能力非常强,同一个设计的程序可以被不同- 8 -的工具所支持,包括综合工具、仿真工具、系统平台。(4) 强大硬件描述能力。VHDL 语言既能

28、描述门级电路又能描述系统及电路(5) 语法规范易于共享。VHDL 的语法非常规范,可读性也非常强。用它编写的代码 文件即使程序,也是文档;既可以作为设计人员之间相互交流谈论的内容,也可 以作为合同文本供双方签约。其次共享性,适合大规模协作开发利用。VHDL 自身也存在一些缺点。(1) 要求设计人员有一定的硬件电路基础。(2) 系统的抽象表达能力较差。不能够对模拟电路进行描述。2.3.3 VHDL 设计流程(1) 设计人员确定电路实现的具体功能。(2) 设计输入。采用自顶向下的方法,将设计模块化。模块划分过程是设计的重要环 节,这一环节花费的时间和精力最多,以确保设计的最优。(3) 功能仿真。此

29、仿真阶段对电路进行功能验证,通过此过程,检查设计存在的不足。 可以改正设计初期的不足和错误,节省后期的时间,缩短开发周期。(4) 综合、优化和布局布线。综合是将较高层次抽象描述转化为较低层次的描述。优化是缩小时延增大资源的利用率。一个好的布局布线可以减少布线延迟。 (5)时序仿真。(6) 编程下载。(7) 硬件测试。2.3.4VHDL 程序的基本结构一段完整的 VHDL 程序包括:实体(ENTITY)、结构体(ARCHTECTURE)、配 置(CONFIGURATION)、库(LIBRARY)和程序包(PACKAGE)五部分组成,其中 前四部分是可分别编译的源设计单元。(1) 实体是一个 VH

30、DL 语言程序的基本单元,它可以很简单也可以很复杂。简单到 可以描述一个门电路,也可以复杂到描述一个系统带电路。不管是简单的还是复 杂的数字电路,实体的构成基本上是一致的,即由实体说明和结构体两部分组成。- 9 - 状态机 包 含 一 组 状 态 集 ( states )、一 个 起 始 状 态 ( start state )、一 组 输 入 符 号 集 ( alphabet )、一 个 映 射 输 入 符 号 和 当 前 状 态 到 下 一 状 态 的 转 换 函 数 ( transition function ) 的 计 算 模 型 。 当 输 入 符 号 串 , 模 型 随 即 进 入

31、起 始 状 态 。 它 要 改 变 到 新 的 状 态 , 依 赖 于 转 换 函 数 。 在 有 限 状 态 机 中 , 会 有 很 多变量 。 例 如 , 状 态 机 有 很 多 与 动 作 ( actions )、 转 换 (Mealy 机 ) 或状 态 ( 摩 尔 机 ) 相 关联 的 动 作 , 多 重 起 始 状 态 , 基 于 没 有 输 入 符 号 的 转 换 , 或 者 指 定 符 号 和 状 态 ( 非 定 有 限 状 态 机 ) 的 多 个 转 换 , 指 派 给 接 收 状 态 ( 识 别 者 ) 的 一 个 或 多 个 状 态 , 等 等 。很 少 有 事 件 能 改

32、 变 标 准 执 行 流 程 ; 而 且 这 些 事 件 主 要 涉 及 异 常 情 况 。 “ 命 令 行 实 用 程 序 ” 是 这 种 传 统 应 用 程 序 的 典 型 例 子 。(2) 实体说明部分用以描述设计系统的外部端口信号和参数的属性及设置,结构体部 分定义了设计单元的具体功能、行为、数据流程或内部结构。(3) 配置用于从库中选取所需单元组成系统的不同版本。(4) 程序包用于存放各个设计模块能共同使用的数据类型、常数、子程序等。 2.3.5 状态机的简介关于状态机的一个确切的描述是它是一个有向图形,由一组节点和一组相应的转移 函数组成。状态机通过响应一系列事件而“运行”。每个事

33、件都在属于“当前” 节点的转 移函数的控制范围内,其中函数的范围是节点的一个子集。函数返回“下一个”(也许是 同一个)节点。这些节点中至少有一个必须是终态。当到达终态时,状态机停止。 传统应用 程 序 的 控 制 流 程 基 本 是 顺 序 的 : 遵 循 事 先 设 定 的 逻 辑 , 从 头 到 尾 地 执 行 。 另一类应 用 程 序 由 外 部 发 生 的 事 件 来 驱 动 换 言 之 , 事 件 在 应 用 程 序 之 外 生 成 , 无 法 由 应 用 程 序 或 程 序 员 来 控 制 。 具 体 需 要 执 行 的 代 码 取 决 于 接 收 到 的 事 件 , 或 者 它

34、相 对 于 其 他 事 件 的 抵 达 时 间 。 所 以 , 控 制 流 程 既 不 能 是 顺 序 的 , 也 不 能 是 事 先设 定 好 的 , 因 为 它 要 依 赖 于 外 部 事 件 。 事 件 驱 动 的GUI 应 用 程 序 是 这 种 应 用 程 序的 典 型 例 子 , 它 们 由 命 令 和 选 择 ( 也 就 是 用 户 造 成 的 事 件 ) 来 驱 动 。 Web 应 用 程 序 由 提 交 的 表 单 和 用 户 请 求 的 网 页 来 驱 动 , 它 们 也 可 划 归 到 上 述 类 别 。 但 是 , GUI 应 用 程 序 对 于 接 收 到 的 事 件

35、 仍 有 一 定 程 度 的 控 制 , 因 为 这 些 事 件 要依 赖 于 向 用 户 显 示 的 窗 口 和 控 件 , 而 窗 口 和 控 件 是 由 程 序 员 控 制 的 。Web 应 用 程序 则 不 然 , 因 为 一 旦 用 户 采 取 不 在 预 料 之 中 的 操 作 ( 比 如 使 用 浏 览 器 的 历 史 记 录 、 手 工 输 入 链 接 以 及 模 拟 一 次 表 单 提 交 等 等 ) , 就 很 容 易 打 乱 设 计 好 的 应 用 程 序 逻 辑 。- 10 - 显然,必 须 采 取 不 同 的 技 术 来 处 理 这 些 情 况 。 它 能 处 理 任

36、 何 顺 序 的 事 件 , 并 能 提 供 有 意 义 的 响 应 即 使 这 些 事 件 发 生 的 顺 序 和 预 计 的 不 同 。 有 限 状 态 机 正 是 为 了 满 足 这 方 面 的 要 求 而 设 计 的 。状 态 机 可 归 纳 为 4 个 要 素 , 即 现 态 、 条 件 、 动 作 、 次 态 。 这 样 的 归 纳 , 主 要 是 出 于 对 状 态 机 的 内 在 因 果 关 系 的 考 虑 。 “ 现 态 ” 和“ 条件 ” 是因 ,“动 作 ” 和“ 次态 ” 是果 。 详 解 如 下 : 现 态 : 是 指 当 前 所 处 的 状 态 。 条 件 : 又

37、称 为 “ 事 件 ” 。当 一 个 条 件 被 满 足 , 将 会 触 发 一 个 动 作 , 或 者 执 行 一 次 状 态 的 迁 移 。 动 作 : 条 件 满 足 后 执 行 的 动 作 。 动 作 执 行 完 毕 后 , 可 以 迁 移 到 新 的 状 态 , 也 可 以 仍 旧 保 持 原 状 态 。 动 作 不 是 必 需 的 , 当 条 件 满 足 后 , 也 可 以 不 执 行 任 何 动 作 , 直 接 迁 移 到 新 状 态 。 有限状态 机 是 一 种 概 念 性 机 器 , 它 能 采 取 某 种 操 作 来 响 应 一 个 外 部 事 件 。 具 体 采 取 的

38、操 作 不 仅 能 取 决 于 接 收 到 的 事 件 , 还 能 取 决 于 各 个 事 件 的 相 对 发 生 顺 序 。 之 所 以 能 做 到 这 一 点 , 是 因 为 机 器 能 跟 踪 一 个 内 部 状 态 , 它 会 在 收 到 事 件 后 进 行 更 新 。 为 一 个 事 件 而 响 应 的 行 动 不 仅 取 决 于 事 件 本 身 , 还 取 决 于 机 器 的 内 部 状 态 。 另 外 , 采 取的 行 动 还 会 决 定 并 更 新 机 器 的 状 态 。 这 样 一 来 , 任 何 逻 辑 都 可 建 模 成 一 系 列 事 件 状 态 组 合 。/次态:条件

39、满足后要迁往的新状态。“次态”是相对于“现态”而言的,“次态”一旦被激 活,就转变成新的“现态”了。(5) 片厂商提供。?2.4 Quartus II 软件介绍Alteral 公司是 20 世纪 90 年代最大的可编程供应商之一,发展的非常快。开发工 具从早期的 A+PLUS、到 MAX+PLUS 发展到 MAX+PLUS II、Quartus、再到现在的 Quartus II,多次版本的升级,软件性能能也得到了相应的提高。Quartus II 是目前最主 流的开发软件。该软件有如下几个显著特点:1、Quartus II 的优点用三级目录Quartus II 提供了一种与结构无关的的设计环境以

40、缩短其开发周期降低设计的复杂- 11 -度,设计人员不需要精通器件的内部结构,只要用自己熟练的输入工具进行设计。还可 以对设计电路进行时序分析、功能分析、时许逼真、仿真及功率分析等。该软件界面友 好,使用方便,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的 EDA 工具软件。此软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模 块化工具等特点,支持原理图、VHDL、VerilogHDL 以及 AHDL 等多种设计输入形式, 内嵌自有的综合其以及仿真器,可以完成从设计输入到硬件配置的完整的 PLD 设计流 程。Quartus II 可以在 XP、Linux 以及 Unix

41、 上使用,除了可以使 2 用 Tcl 脚本完成设计 流程外、提供了完善的用户图形界面设计方式。具有速度快、界面统一,功能能集中, 易学易用等特点。2、Quartus II 对器件的支持Quartus II 支持 Altera 公司的 MAX 3000A 系列、MAX 7000 系列、MAX 9000 系列、 ACEX 1K 系列、APEX 20K 系列、APEX II 系列、FLEX 6000 系列、FLEX 10K 系列, 支持 MAX7000/MAX3000 等乘积项器件。支持 MAX IICPLD 系列、Cyclone 系列、 Cyclone II 系列、Stratix II 系列、St

42、ratix GX 系列等。3、Quartus II 对第三方 EDA 工具的支持对第三方 EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第 三工具 EDA。Atltera 的 Quartus II 可编程软件属于第四代 PLD 开发平台。该平台支持一个工作组环境的设计要求,其中包括支持基于 Internet 的写作设计。Quartus平台与Cadence、Exemplar Logic、MentorGraphics、Synopspys 和 Synplicity 等 EDA 供应商的开 发工具相兼容。改进了软件的 LogicLock 模块设计功能,增添了 FastFit 编译选项

43、,推进 了网络的编辑性能,而且提高了调试能力。Quartus II 软件的设计流程如图 2-3 所示- 12 -设计输入(Design Entity)综合(Synthesis)调试(Debugging)功耗分析(Power Analysis)时序分析(Timing Analysis)仿真(Simulation)编程和仿真 (ProgrammingConfiguration)&工程更改管理 (Engineering ChangeManagement)布局布线(Place and时序逼近(Timingroute)closure)图 2-3Quartus II 的设计流程- 13 -调整井道装置安全

44、保护装置拖动控制输出接口CPU存储器PC主机输入接口指示层门机控制厅外呼叫轿厢操作盘3 电梯控制器的设计及仿真3.1 电梯及电梯控制器的功能简介3.1.1 电梯的机械组成电梯是机与电紧密结合的复杂产品,是垂直交通运输工具中使用最普遍的一种电梯, 其基本组成包括机械部份和电气部份,结构包括四大空间(机房部分、井道和底坑部分、 围壁部分和层站部分)和八大系统(曳引系统、导向系统、门系统、轿厢、重量平衡系 统、电力拖动系统、电气控制系统、安全保护系统)组成。电梯控制系统结构图如图 3-1 所示:图 3-1 电梯控制系统结构图电梯信号控制基本由 PLC 软件实现。输入到 PLC 的控制信号有运行方式选

45、择(如 自动、有司机、检修、消防运行方式等)、运行控制、轿内指令、层站召唤、安全保护 信号、开关门及限位信号、门区和平层信号等。电梯信号控制系统如图 3-2 所示- 14 -调整井道装置安全保护装置拖动控制输出接口CPU存储器PC主机输入接口指示层门机控制厅外呼叫轿厢操作盘图 3-2电梯信号控制系统结构图怎么和上图一样?3.1.2 电梯控制器的工作原理电梯的安全保护装置用于电梯的启停控制;轿厢操作盘用于轿厢门的关闭、轿厢需 要到达的楼层等的控制;厅外呼叫的主要作用是当有人员进行呼叫时,电梯能够准确达 到呼叫位置;指层器用于显示电梯达到的具体位置;拖动控制用于控制电梯的起停、加 速、减速等功能;

46、门机控制主要用于控制当电梯达到一定位置后,电梯门应该能够自动 打开,或者门外有乘电梯人员要求乘梯时,电梯门应该能够自动打开。3.2 电梯控制器的程序设计电梯控制系统总体框图如图 3-3 所示(增加)- 15 -按键模块电梯控制模块数码管模块点阵模块电机模块分频模块图 3-3 电梯控制系统框图3.2.1 电梯的总体控制思想1、(改为 3.2.1)设计任务设计一个五层电梯控制器,功能如下:(1) 在电梯开关打开时响应请求;(2) 电梯初始位置时 1 层;(3) 电梯运行时,指示方向和当前所在楼层;(4) 电梯每秒升降 1 层;(5) 当电梯到达请求楼层时,自动开门,等待五秒后自动关门,继续运行,如

47、没 有请求,停留在当前楼层;(6) 收到请求后,自动到达用户所在楼层,自动开门;(7) 记忆电梯内的外所有请求,并按电梯运行顺序执行,在执行清除请求; (8) 电梯运行规则:当电梯处于上升状态时,仅响应比电梯位置更高的用户的请求;当电梯处于下降状态时,仅响应比电梯位置更低用户的请求;(9) 具有提前关门和延时关门的功能(10) 具有报警功能2、(改为 3.2.2)系统设计图 3-3 放这写电梯控制器是设计的核心也是设计的难点。根据电梯的实际工作情况,可以将主控制器的运行状态分为 6 中?:停止、等 待、- 16 -rising_edge(clk)Switch=1Switch=0Position

48、/=(urrposition)and上升、连续上升、下降和连续下降,如图所示 3-4 所示,电梯开关未打开时,处于停止 状态,开关打开后处于等待状态,之后响应用户的请求,进入上升或下降状态。连续上 升状态发生正在电梯不在中间停留的情形,例如,用户在 1 层进入电梯,知道 5 层,那 么在 2、3、4 层处于连续上升状态,连续下降状态也同理。这里状态划分与具体的楼层 无关,因此具有很强的通用性。其次考虑输入输出端口,一个电梯开关,供电梯管理员控制电梯,开关无效时,电 梯不工作;用户进入电梯后,可以不必等待 5 秒,而提前关门,提供 close 请求服务; 用户需要时也可以延时关门,延时的时间随意

49、,提供 delay 请求服务;电梯内有 5 个按 键,供用户请求楼层,5 个电梯外控制器的上升下降请求;还有时钟输出端口比较简单,一个是开门信号,控制电梯开关门,另一个是输出电梯当前所在楼 层,还有上升下降标志信号。ur=0 and dr=0停止(stop)上升(up)连续上升(midup)等待(pause)position=(urr and position position=(drr andposition)position/=(drr andposition)rising_edge(clk)图 3-4 状态转移图下降(down)连续下降(middown)3.2.2 电梯控制器的程序流程图

50、该部分最好放在“设计任务”中的最后写,你 认为呢?- 17 -初始化等待判断电梯运行方向电梯运行楼层检测电梯停止开门延时关门停止是否是是否否是否有请求?目标层与本层是否相同?是否是否目标层?是否停止运行?图 3-5 电梯控制器流程框图3.2.3 模块设计与实现- 18 -1、主控制器模块用四级目录(1)首先说明一下电梯状态。由图 3-4 可以看出,状态机设置了 6 个状态,分别是停止、等待、上升、连续上升、下降、连续下降。在结构体 ARCHITECTURE BEGIN 之间有如下定义。TYPE statetype is (stop,pause,up,down,midup,middown)和SI

51、GNAL state:statetype;打开电梯开关后,尚无请求,电梯处于等待状态。如有用户发出请求,则电梯响应, 上升至用户所在楼层。响应的过程是:判断请求是否符合规则,如果符合,则将请求保 存至上升请求寄存器(urr)中,由等待转入上升状态,然后启动运行定时器,1 秒中后, 到达上一层,如果此层被用户请求,则转入等待状态,自动打开电梯门;否则转入连续 上升状态,将运行定时器清零,然后返回上升状态,重新启动运行定时器,再上升一层, 直至到达用户所在楼层。下降过程同理。在等待过程中,逻辑判断最为复杂。首先判断下一步状态,是继续上升,继续下降, 还是一直等待,抑或从上升转入下降,从下降转入上升,这些都是通过方向标志位 ur,dr 的判断得到。其次是开门问题,电梯刚到某一层时,必定是用户准备出梯,或者 准备入梯,电梯必须自动开门,启动开门定时器,5 秒之后关门;如果电梯在过去一段

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!