EDA技术及应用-VHDL版(第三版)(潭会生)第7章详解

上传人:痛*** 文档编号:204619613 上传时间:2023-04-27 格式:PPT 页数:36 大小:1.15MB
收藏 版权申诉 举报 下载
EDA技术及应用-VHDL版(第三版)(潭会生)第7章详解_第1页
第1页 / 共36页
EDA技术及应用-VHDL版(第三版)(潭会生)第7章详解_第2页
第2页 / 共36页
EDA技术及应用-VHDL版(第三版)(潭会生)第7章详解_第3页
第3页 / 共36页
资源描述:

《EDA技术及应用-VHDL版(第三版)(潭会生)第7章详解》由会员分享,可在线阅读,更多相关《EDA技术及应用-VHDL版(第三版)(潭会生)第7章详解(36页珍藏版)》请在装配图网上搜索。

1、EDAEDA技术及应用技术及应用-VHDL-VHDL版版(第三版第三版)()(潭会生潭会生)第第7 7章章详解详解第7章EDA技术实验 7.1 实验一:实验一:8位加法器的设计位加法器的设计1实验目的实验目的(1)学习Quartus/ISE Suite/ispLEVER软件的基本使用方法。(2)学习GW48-CK或其他EDA实验开发系统的基本使用方法。(3)了解VHDL程序的基本结构。第7章EDA技术实验 2实验内容实验内容设计并调试好一个由两个4位二进制并行加法器级联而成的8位二进制并行加法器,并用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证。第7章

2、EDA技术实验 3实验要求实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。(4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。(5)记录系统仿真、逻辑综合及硬件验证结果。(6)记录实验过程中出现的问题及解决办法。4参考资料本书4.3节、4.4节、4.5节、5.1节、5.2节和6.1节。第7章EDA技术实验 7.2 实验二:序列检测器的设计实验二:序列检测器的设计1实验目的实验目的(1)熟悉Quartus/ISE Suite/ispLEVER软件的基本使用方

3、法。(2)掌握GW48-CK或其他EDA实验开发系统的基本使用方法。(3)学习VHDL程序中数据对象、数据类型、顺序语句和并行语句的综合使用。第7章EDA技术实验 2实验内容实验内容序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,这在数字通信领域有广泛的应用。现要求设计一个8位的序列检测器,在检测过程中,任何一位不相等都将回到初始状态重新开始检测;当一串待检测的串行数据进入检测器后,若此数在每一位的连续检测中都与预置的密码数相同,则输出“A”,否则输出“B”。用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证。第7章EDA技术实验 3实验要求实

4、验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。(4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。(5)记录系统仿真、逻辑综合及硬件验证结果。(6)记录实验过程中出现的问题及解决办法。4参考资料参考资料本书4.3节、4.4节、4.5节、5.1节、5.2节和3.9.2节。第7章EDA技术实验 7.3 实验三:实验三:PWM信号发生器的设计信号发生器的设计1实验目的实验目的(1)熟悉Quartus/ISE Suite/ispLEVER软件的基本使用方法。(2)

5、熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。(3)学习VHDL程序中数据对象、数据类型、顺序语句和并行语句的综合使用。第7章EDA技术实验 2实验内容实验内容设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高/低电平脉宽可分别由两组8位预置数进行控制。用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证。第7章EDA技术实验 3实验要求实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据系统的功能,选好测试用例,画出测试

6、输入信号波形或编好测试程序。(4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。(5)记录系统仿真、逻辑综合及硬件验证结果。(6)记录实验过程中出现的问题及解决办法。第7章EDA技术实验 7.4 实验四:数字频率计的设计实验四:数字频率计的设计1实验目的实验目的(1)熟悉Quartus/ISE Suite/ispLEVER软件的基本使用方法。(2)熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。(3)学习VHDL基本逻辑电路的综合设计应用。2实验内容实验内容设计并调试好8位十进制数字频率计,并用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型

7、号)进行硬件验证。第7章EDA技术实验 3实验要求实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。(4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。(5)记录系统仿真、逻辑综合及硬件验证结果。(6)记录实验过程中出现的问题及解决办法。4参考资料参考资料本书4.3节、4.4节、4.5节、5.1节、5.2节和6.5节。第7章EDA技术实验 7.5 实验五:数字秒表的设计实验五:数字秒表的设计1实验目的实验目的(1)熟悉Quartus/ISE Suite/is

8、pLEVER软件的基本使用方法。(2)熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。(3)学习VHDL基本逻辑电路的综合设计应用。2实验内容实验内容设计并调试好一个计时范围为0.01s1h的数字秒表,并用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证。第7章EDA技术实验 3实验要求实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。(4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。(5)记录系统仿真、逻辑

9、综合及硬件验证结果。(6)记录实验过程中出现的问题及解决办法。4参考资料参考资料本书4.3节、4.4节、4.5节、5.1节、5.2节和6.6节。第7章EDA技术实验 7.6 实验六:交通信号灯控制器的设计实验六:交通信号灯控制器的设计1实验目的实验目的(1)熟悉Quartus/ISE Suite/ispLEVER软件的基本使用方法。(2)熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。(3)学习VHDL基本逻辑电路和状态机电路的综合设计应用。第7章EDA技术实验 2实验内容实验内容设计并调试好一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下:(1)主

10、、支干道各设有一个绿、黄、红指示灯,两个显示数码管。(2)主干道处于常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许通行亮绿灯时,主干道亮红灯。(3)当主、支干道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。第7章EDA技术实验 3实验要求实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。(4)根据选用的EDA实验开发装置

11、编好用于硬件验证的管脚锁定表格或文件。(5)记录系统仿真、逻辑综合及硬件验证结果。(6)记录实验过程中出现的问题及解决办法。第7章EDA技术实验 7.7 实验报告范例实验报告范例下面以一个09999的计数器电路的设计为例,给出一个实验报告范例,以供参考。实验X 09999的计数器电路的设计1实验目的实验目的(1)进一步熟悉和掌握Quartus 软件的使用。(2)进一步熟悉和掌握GW48-CK或其他EDA实验开发系统的使用。(3)学习和掌握VHDL进程语句和元件例化语句的使用。第7章EDA技术实验 2实验内容实验内容设计并调试好一个计数范围为09999的4位十进制计数器电路CNT9999,并用G

12、W48-CK或其他EDA实验开发系统(可选用的芯片为ispLSI 1032E-PLCC84或EPM7128S-PL84或XCS05/XCS10-PLCC84芯片)进行硬件验证。第7章EDA技术实验 3实验条件实验条件(1)开发软件:Quartus 8.0。(2)实验设备:GW48-CK EDA实验开发系统。(3)拟用芯片:EPM7128S-PL84。4实验设计实验设计1)系统原理框图为了简化设计并便于显示,本计数器电路CNT9999的设计分为两个层次,其中底层电路包括四个十进制计数器模块CNT10,再由这四个模块按照图7.1所示的原理图构成顶层电路CNT9999。第7章EDA技术实验 图7.1

13、 CNT9999电路原理图第7章EDA技术实验 2)VHDL程序计数器CNT9999的底层和顶层电路均采用VHDL文本输入,有关VHDL程序如下。CNT10的VHDL源程序:-CNT10.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 IS PORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC;ENA:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);第7章EDA技术实验 CO:OUT STD_

14、LOGIC);END ENTITY CNT10;CNT9999的VHDL源程序:-CNT9999.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CNT9999 IS PORT(CLR:IN STD_LOGIC;CLK:IN STD_LOGIC;ENA:IN STD_LOGIC;DOUT:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END ENTITY CNT9999;第7章EDA技术实验 3)仿真波形设置 本设计包括两个层次,因此先进行底层的十进制计数器CNT10的仿真,再进行顶层CNT9999的仿真。图7.2是C

15、NT10仿真输入设置及可能结果估计图。同理可进行CNT9999仿真输入设置及可能结果估计(这里略)。第7章EDA技术实验 图7.2 CNT10仿真输入设置及可能结果估计图第7章EDA技术实验 4)管脚锁定文件根据图7.1所示的CNT9999电路原理图,本设计实体的输入有时钟信号CLK、清零信号CLR和计数使能信号ENA,输出为DOUT15.0,据此可选择实验电路结构图NO.0,对应实验模式0。根据图7.5所示的实验电路结构图NO.0和图7.1确定引脚的锁定。选用EPM7128S-PL84芯片,其引脚锁定过程如表7.1所示,其中CLK接CLOCK2,CLR接键3,ENA接键4,计数结果DOUT3

16、.0、DOUT7.4、DOUT11.8、DOUT15.12经外部译码器译码后,分别在数码管1、数码管2、数码管3、数码管4上显示。第7章EDA技术实验 第7章EDA技术实验 5.实验结果及总结实验结果及总结1)系统仿真情况CNT10和CNT9999的时序仿真结果分别如图7.3和7.4所示(本仿真结果是通过同时按下“CTRL+Print Screen”键抓取当前屏幕信息放入剪贴板中,再通过Windows的画图工具进行粘贴裁剪后复制,最后在Word等文档中通过粘贴的方法获得)。第7章EDA技术实验 图7.3 CNT10的时序仿真结果 图7.4 CNT9999的时序仿真结果 第7章EDA技术实验 2

17、)逻辑综合结果使用Quartus 8.0进行逻辑综合后,CNT9999的RTL视图如图7.5所示,对CNT9999进行逻辑综合后的资源使用情况为:Family:MAX7000S,Device:EPM7128SLC84-10,Total macrocells:19/128(15%),Total pins:23/68(34%)。第7章EDA技术实验 图7.5 CNT9999的RTL视图 第7章EDA技术实验 3)硬件验证情况CLK接CLOCK2,CLR接键3,ENA接键4,计数结果DOUT3.0、DOUT7.4、DOUT11.8、DOUT15.12经外部译码器译码后,分别在数码管1、数码管2、数码

18、管3、数码管4上显示。第7章EDA技术实验 4)实验过程中出现的问题及解决办法(1)程序输入后进行编译时,发现有错误通不过,经查找主要原因为:文件名与实体名不一致;输入字符错误;源程序有语法错误。经过相应的修改,最后程序通过了。(2)在进行仿真时,发现提示没有仿真文件,经老师指点发现是没进行新建波形文件的存盘或未进行仿真文件的设置。经过相应的修改,最后程序通过了。同时在进行CNT10仿真时发现进位信号提前了一个周期,经过对进位控制进程进行修改(修改方法详见表7.2),解决了该问题。第7章EDA技术实验(3)在进行器件选定时,发现找不到自己所需的器件型号,经查找是由于先没选定器件系列;在进行器件管脚锁定修改并重新编程下载后,发现所做的修改无效,经老师指点发现是管脚锁定修改后没重新编译、综合、适配,经过相应的修改,最后程序通过了。第7章EDA技术实验 结束结束

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!