数字系统设计报告汇总(DOC 26页)

上传人:痛*** 文档编号:204477908 上传时间:2023-04-26 格式:DOC 页数:26 大小:425KB
收藏 版权申诉 举报 下载
数字系统设计报告汇总(DOC 26页)_第1页
第1页 / 共26页
数字系统设计报告汇总(DOC 26页)_第2页
第2页 / 共26页
数字系统设计报告汇总(DOC 26页)_第3页
第3页 / 共26页
资源描述:

《数字系统设计报告汇总(DOC 26页)》由会员分享,可在线阅读,更多相关《数字系统设计报告汇总(DOC 26页)(26页珍藏版)》请在装配图网上搜索。

1、数字电路与系统设计实 验 报 告评 语:成绩教 师:年 月 日班 级: 学 号: 姓 名: 地 点: 批次: 时 间: 一实验目的通过基本门电路性能测试实验使学生掌握基本门电路的工作原理、门电路的外特性(IC门电路的引脚排列顺序,输入/输出电平要求等);通过计算机仿真技术使学生掌握组合逻辑电路的设计方法,掌握触发器功能及其波形关系,掌握时序电路的设计方法,培养学生的实践动手能力和实验技能。二 实验内容 实验一 基本逻辑门电路实验一、 基本逻辑门电路性能(参数)测试(一)实验目的.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。(

2、二)实验所用器件和仪表.二输入四与非门74LS00 1片.二输入四或非门74LS02 1片.二输入四异或门74LS86 1片(三)实验内容测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。(四)实验提示.将被测器件插入实验台上的芯插座中。.将器件的引脚与实验台的“地(GND)”连接,将器件的引脚与实验台的十5连接。.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。.将被测器件的输出引脚与实验台上的电平指示灯(LED)

3、连接。指示灯亮表示输出低电平(逻辑为),指示灯灭表示输出高电平(逻辑为1)。(五)实验接线图及实验结果 74LS00中包含个二输入与非门,7402中包含个二输入或非门,7486中包含个二输入异或门,它们的引脚分配图见附录。下面各画出测试7400第一个逻辑门逻辑关系的接线图及测试结果。测试其它逻辑门时的接线图与之类似。测试时各器件的引脚接地,引脚接十。图中的1、2接电平开关输出端,LED0是电平指示灯。1、测试74LS00逻辑关系2、测试74LS28逻辑关系3、测试74LS86逻辑关系 二 、 TTL、HC和HCT器件的电压传输特性(一)、实验目的 .掌握TTL、HCT和 HC器件的传输特性。

4、.掌握万用表的使用方法。(二)、实验所用器件和仪表 .六反相器片 .六反相器片 .六反相器片 .万用表(三)、实验说明 与非门的输出电压o与输入电压i的关系o=f(i)叫做电压传输特性,也称电压转移特性。它可以用一条曲线表示,叫做电压传输特性曲线。从传输特性曲线可以求出非门的下列有用参数: .输出高电平() .输出低电平().输入高电平().输入低电平().门槛电压 ()(四)、实验内容.测试TTL器件一个非门的传输特性。.测试HC器件一个非门的传输特性。.测试HCT器件一个非门的传输特性。(五)、实验提示.注意被测器件的引脚和引脚分别接地和十5。.将实验台上.电位器RTL的电压输出端连接到被

5、测非门的输入端,RTL的输出端电压作为被测非门的输入电压。旋转电位器改变非门的输入电压值。.按步长0.2调整非门输入电压。首先用万用表监视非门输入电压,调好输入电压后,用万用表测量非门的输出电压,并记录下来。(六)、实验接线图及实验结果.实验接线图由于 74LS04、74HC04和 74HCT04的逻辑功能相同,因此三个实验的接线图是一样的。下面以第一个逻辑门为例,画出实验接线图(电压表表示电压测试点)如下.输出无负载时74LS04、74HC04、74HCT04电压传输特性测试数据表1.4 74LS04、74HC04、74HCT04电压传输特性测试数据输入Vi(V)输出Vo(V)74LS047

6、4HC0474HCT040.00.20.40.60.81.01.21.41.61.82.02.22.42.62.83.03.23.43.63.84.04.24.44.64.85.0.按测试结果给出输出无负载时74LS04、74HC04和 74HCT04电压传输特性曲线,并与下图比较。 .比较三条电压传输特性曲线,说明各自的特点。 尽管只对三个芯片在输出无负载情况下进行了电压传输特性测试,但是从图1.5、图1.6和图1.7所示的三条电压传输特性曲线仍可以得出下列观点: (1)74LS芯片的最大输入低电平V低于74HC芯片的最大输入低电平V,74LS芯片的最小输入高电平低于74HC芯片的最小输出高

7、电平。 ()74LS芯片的最大输入低电平、最小输入高电平与74HCT芯片的最大输入低电平、 最小输出高电平相同。 ()74LS芯片的最大输出低电平高于74HC芯片和74HCT芯片的最大输出低电平。74LS芯片的最小输出高电平低于74HC芯片和74HCT芯片的最小输出高电平。 ()74HC芯片的最大输出低电平 、最小输出高电平 与 74HCT芯片的最大输出低电平、最小输出高电平相同。 5在暂时不考虑输出负载能力的情况下,从上述观点可以得出下面的推论: ()74H CT芯片和74HC芯片的输出能够作为 74LS芯片的输入使用。 ()74LS芯片的输出能够作为74HCT芯片的输入使用。实际上,在考虑

8、输出负载能力的情况下,上述的推论也是正确的。应当指出,虽然在教科书中和各种器件资料中,74LS芯片的输出作为74HC芯片的输入使用时,推荐的方法是在74LS 芯片的输出和十5电源之间接一个几千欧的上拉电阻,但是由于对74LS芯片而言,一个74HC输入只是一个很小的负载,74LS芯片的输出高电平一般在.5V4.5V之间,因此在大多数的应用中,74LS芯片的输出也可以直接作为74HC芯片的输入。三、逻辑门控制电路1) 用与非门和异或门安装如图1.8(a)所示的电路。检验它的真值表。 2)用个三输入端与非门IC芯片74LS10安装如图.9所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约

9、 7khz和 14khz)的脉冲信号分别加到0和1端。对应 和 端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出和(及/)的功能。l 实验二 组合逻辑电路部件实验实验目的:掌握逻辑电路设计的基本方法 掌握EDA工具MAX-PlusII的原理图输入方法掌握MAX-PlusII的逻辑电路编译、波形仿真的方法(一) 逻辑单元电路的波形仿真利用EDA工具MAX-PlusII的原理图输入法,分别输入74138、7483图元符号;建立74138、7483的仿真波形文件,并进行波形仿真,记录波形;分析74138、7483逻辑关系。 13-8译码器74138的波形仿真 24位二进制加法器7483的

10、波形仿真 位二进制加法器集成电路 74LS83中,和 是两个位二进制数的输入端,Cout,S3,S2,S1,S0是位输出端。Cin是进位输入端,而Cout是进位输出端。(二) 简单逻辑电路设计利用EDA工具MAX-PlusII的原理图输入法,输入设计的电路图;建立相应仿真波形文件,并进行波形仿真,记录波形和输入与输出的时延差;分析设计电路的正确性。1. 设计一个2-4译码器功能表输入输出EA1A2Q0Q1Q2Q3111110000111011011101101111110E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出。2. 设计并实现一个4位二进制全加器 (1)

11、二进制全加器原理:对两个位二进制改作加法运算的数字电路是由一个半加器和(1)个全加器组成。它把两个位二进制数作为输入信号。产生一个(1)位二进制数作它的和。一个位二进制加法器的方框图如图2.1所示。图中和是用来相加的两n位输入信号,n-1,n-1,n-2, 2,1,0是它们的和。在该电路中方对0和0相加是用一个半加器,对其它位都用全加器。如果需要串接这些电路以增加相加的位数,那么它的第一级也必须是一个全加器。图.表示都用全加器实现的加法器电路。加 法 器A1 A0An An-1Bn Bn-1B1 B0A输入信号 B输入信号输 出 端Cn-1 Sn-1 Sn-2S2 S1 S0图2.1 二进制加

12、法器方框图 图2.2 用全加器的n位二进制加法器C-1B0 A0C0 S0B1 A1C1 S1Bn-1 An-1Cn-1 Cn-1Bn-2 An-2Cn-2 Cn-2(2)实验步骤:设计1位二进制全加器,逻辑表达式如下: Sn=AnBnCn-1Cn= AnBnCn-1(AnBn) An是被加数, Bn是加数,Sn是和数,Cn是向高位的进位,Cn-1是低位的进位。 利用1位二进制全加器构成一个4位二进制全加器3. 交叉口通行灯逻辑问题的实现图表示一条主干公路(东一面)与一条二级道路的交叉点。车辆探测器沿着A、B、C和D线放置。当没有发现车辆时,这些敏感组件的输出为低电平0”。当发现有车辆时,输出

13、为高电平“1”。交叉口通行灯根据下列逻辑关系控制: 北(a)东一西灯任何时候都是绿的条件 A (l)C和D线均被占用; 西 C 东 (2)没有发现车辆; D (3)当A、B线没同的占用时, BC或D任一条线被占用; 南(b)南一北灯任问时候都是绿的条件 (1)A和B线均被占用,而C和D线均未占用或只占用一条线; (2)当C和D均未被占用时,A或B任一条线被占用。 有两个输出端,南北和东西,输出高电平对应绿灯亮,输出低电平对应红灯亮。用敏感组件的输出作为逻辑电路输入信号,对所给的逻辑状态建立一个真值表,化简后得最简逻辑表达式,用与非门实现该电路、并用波形仿真设计电路的功能,分析其正确性之。4.

14、设计一个7位奇/偶校验器奇/偶校验代码是在计算机中常用的一种可靠性代码。它由信息码和一位附加位奇/偶校验位组成。这位校验位的取值(0或1)将使整个代码串中的1的个数为奇数(奇校验代码)或为偶数(偶校验代码)。(1) 奇/偶校验位发生器 (a)奇/偶校验位发生器就是根据输入信息码产生相应的校验位。奇校验位定义是指当输入信息码中1的数目为奇数个时,奇校验位为0;当输入信息码中“1”的数目为偶数个时,奇校验位为1;使得信息码与奇校验位中“1”的总数目为奇数个,偶校验位的定义则相反。图2.3是4位信息码的奇校验位发生器电路。它是基于“异或”门的“相同得0、相异得1”原理设计的。图 2.3 据图可推知:

15、当B8B4B2B1中的1的个数为偶数时此奇校验位发生器输出的校验位P为1,反之为0。(b) 设计一个7位二进制代码分别为a0、a1、a2、a3、a4、a5、a6的奇/偶校验位发生器,奇校验位为P,偶校验位为E。逻辑表达式如下:P=a0a1a2a3a4a5a6E= P。(2) 奇/偶校验代码校验器(a)奇/偶校验器用于校验奇(偶)校验代码在传送和存储中有否出现差错,它具有发现所有奇数个位数错的能力。所谓奇校验器,即:若输入代码中1的个数为奇数,则输出1(表示正常),反之输出0。所谓偶校验器,即:若输入代码中1的个数为偶数,则输出1(表示正常),反之输出0。(b) 设计一个8位二进制代码分别为a0

16、、a1、a2、a3、a4、a5、a6、 p的奇校验器。逻辑表达式如下:S= a0a1a2a3a4a5a6P显然,当校验器的输入代码a0a1a2a3a4a5a6 p中1的个数为奇数时,校验器的输出S为1、反之S为0。5. 设计一个四选一单元(数据选择器)电路FD86 数据选择器又称输入多路选择器、多路开关。它的功能是在选择信号的控制下,从若干路输入数据中选择某一路输入数据作为输出。功能表选通选择信号四路数据输出EA1A0DF10000D0D3D0001D0D3D1010D0D3D2011D0D3D3E是选通使能端,A1、A0分别是选择信号端,D0、D1、D2、D3分别是四路数据,F是输出端。6.

17、 设计一个1:4数据分配器FD93多路选择器D Y0GY1 C0Y2 C1Y3功能表如下: 输入端输出端GS1S0DY0Y1Y2Y3111110OODD111001D1D11010D11D1011D111DG 是选通使能端,S1、S0分别是选择端,D是一路输入数据,Y0、Y1、Y2、Y3分别是选择的输出。7. 设计并实现2位二进制数字比较器功能描述:比较A1A0和B1B0两个2位数:当A1A0B1B0时,电路输出端E=1,其它情况时E=0;当A1A0B1B0时,电路输出端L=1, 其它情况时L=0;当A1A0B1B0时,电路输出端S=1, 其它情况时S=0;并进行波形仿真。l 实验三 时序电路

18、设计 (一)触发器实验实验目的1掌握RS触发器、D触发器、JK触发器的工作原理。2学会正确使用RS触发器、D触发器、JK触发器。实验内容1 用 74LS00构成一个 RS 触发器。给出R、S波形序列,进行波形仿真,说明RS触发器的功能。2 D触发器DFF(或双D触发器74LS74中一个D触发器)功能测试。D触发器的输入端口CLR是复位或清零,PRN是(置位);给定D(数据)、CLK(时钟)波形序列,进行波形仿真,记录输入与输出Q波形。说明D触发器是电平触发还是上升沿触发,分析原因。3 JK触发器JKFF(或双JK触发器74LS73、74LS76中一个JK触发器)功能测试与分析。JK触发器输入端

19、口CLR是复位端,PRN是置位端,CLKS是时钟。给出CK,J,K的波形,仿真JK触发器的功能,说明JK触发器的CLK何时有效。 D触发器74LS74是上升沿触发,JK触发器74LS73是下降沿触发。 (二)简单时序电路设计实验实验目的 学习利用EDA工具设计简单时序电路。掌握简单时序电路的分析、设计、波形仿真、器件编程及测试方法实验内容1.用D触发器(或74LS74)构成的4位二进制计数器(分频器)1) 建立波形文件,对所设计电路进行波形仿真。并记录Q0、Q1、Q2、Q3的状态。2) 对所设计电路进行器件编程。将CLK引脚连接到实验系统的单脉冲输出插孔,4位二进制计数器输出端Q0、Q1、Q2

20、、Q3连接到LED显示灯,CLR、PRN端分别连接到实验系统两个开关的输出插孔。3) 由时钟CLK输入单脉冲,记录输入的脉冲数,同时观测 Q0、Q1、Q2、Q3对应LED显示灯的变化情况。2异步计数器 异步计数器是指输入时钟信号只作用于计数单元中的最低位触发器,各触发器之间相互串行,由低一位触发器的输出逐个向高一位触发器传递进位信号而使得触发器逐级翻转,所以前级状态的变化是下级变化的条件,只有低位触发器翻转后才能产生进位信号使高位触发器翻转。 1)计数器单元电路仿真P62a) 用74LS93构成一个2位十六进制计数器,并进行波形仿真。 b) 用74LS90构成一个2位BCD码计数器,并进行波形

21、仿真。2)设计异步十进制计数器a) 用JK触发器(或双JK触发器74LS73、7476)构成1位十进制计数器(或BCD计数器)74LS76图、释戴p55b) 对所设计的计数器,建立相应波形文件,进行波形仿真。并记录计数值Q0、Q1、Q2、Q3的状态c) 对设计的计数器进行器件编程、连线,由时钟端 CLK输入单脉冲,测试并记录 Q0、Q1、Q2、Q3的状态变化,验证设计电路的正确性。3.移位寄存器(P57) 移位寄存器一种能寄存二进制代码,并能在时钟控制下对代码进行右移或左移的同步时序电路。计算机执行四则运算和逻辑移位等指令少不了移位寄存器,此外,移位寄存器还可用于计算机的串行传输口的串并行信息

22、转换电路。1) 集成移位寄存器波形仿真a) 74LS95(4位)并/串输入,并行输出,双向移位(P59)b) 74LS165(8位)并行输入,串行输出,(TTL-P212)74LS165图、释(TTL手册-P212)2) 用JK触发器设计一个4位串行输入,并行输出右移寄存器, 针对所设计电路建立相应的波形仿真文件,进行波形仿真,器件编程,验证所设计电路的正确性。3) 用JK触发器设计4位并行输入,串行输出右移寄存器对所设计的4位右移寄存器建立相应波形仿真文件,进行波形仿真。4.自循环寄存器(1)用D触发器DFF (或74LS74)构成一个四位自循环寄存器。方法是第一级的 Q端接第二级的 D端,

23、 依次类推,最后第四级的Q端接第一级的D端。四个D触发器的CLK端连接在一起,然后接单脉冲时钟。(2)对设计的电路建立相应的波形仿真文件,进行波形仿真。将触发器Q0置1(即PRN0输入一个负脉冲), Q1、Q2、Q3清0(即CLR1、CLR2、CLR3输入一个负脉冲)。(3)进行器件编程(定义自循环寄存器的输入/输出引脚号)。(4)连线验证所设计电路的正确性预置初始状态(与波形仿真相同),自循环寄存器的PRNi和CLRi端连接到开关的电平输出插空,输入端CLK引脚连接到实验系统的单脉冲输出插孔,输出端Q0、Q1、Q2、Q3连接到LED显示灯。由时钟CLK输入端输入单脉冲,观察并记录Q0、Q1、

24、Q2、Q3的状态变化。5同步计数器P65所谓同步计数器是指计数器中各触发器统一使用同一输入输入时钟脉冲(计数脉冲)信号,在同一时刻所有触发器同时翻转并产生进位信号。(1) 用74LS191构成一个2位十六进制计数器,并进行波形仿真。 (2)用74LS160构成一个2位BCD码计数器,并进行波形仿真。 6. 节拍电位发生器FD125 节拍发生器用来产生计算机工作所需的节拍电位、节拍脉冲。节拍发生器常分为移位型和计数型。(1) 设计移位型节拍发生器(74194,DFF)移位型节拍发生器采用移位寄存器结构,它产生的节拍各数不受限制,使用比较灵活。图是一个由四位移位寄存器集成电路74LS194和一个D

25、触发器构成的节拍发生器,可产生W0W4五个节拍电位。(2) 设计计数型节拍发生器FD126计数型节拍发生器由计数器和译码器构成,n位计数器能产生2n个节拍。图是一个在2位计数器基础上构成的节拍发生器,能产生W1W4四个节拍电位和M1M4四个与主脉冲CLK同步的节拍脉冲。7代码发生器FD129代码发生器用于产生二进制代码序列信号,在数字通信领域中很有用。(1) 设计移位寄存器型代码发生器,并进行波形仿真。(2) 设计计数器型代码发生器,并进行波形仿真。l 实验四 基于VHDL的基本逻辑电路设计(一) 组合逻辑电路用VHDL语言编写实现下列器件功能的程序并进行编译、波形仿真。(1)二输入与非门(2

26、)三态门电路与总线缓冲器(3)编码器/译码器,BCD-7段LED译码器,数码管显示模块():该模块设计了6个共阴七段数码管,数码管段选线高电平有效,数码管位选线高电平有效,能够完成大多数实验的需求;(4)数据选择器,设计一个1:4数据分配器 (5)加法器(6)奇偶校验电路(7)数字比较器,设计4位二进制数字比较器设计并实现4位二进制数字比较器比较A3A2A1A0和B3B2B1B0两个2位数:当A3A2A1A0 B3B2B1B0 时,电路输出端E=1,其它情况时E=0;当 A3A2A1A0 B3B2B1B0 时,电路输出端L=1, 其它情况时L=0;当A3A2A1A0 B3B2B1B0 时,电路

27、输出端S=1, 其它情况时S=0; (二) 时序电路设计用VHDL语言编写实现下列器件功能的程序并进行编译、波形仿真。(1)触发器和锁存器:D触发器设计(2)计数器,设计一位十进制计数器(BCD码计数器)范例见附件六进制计数器(3)移位寄存器,4位右移寄存器l 实验五 数子系统设计综合实验(一)设计一个十进制脉冲计数装置1元器件:BCD-7段LED译码器 1个具有进位的十进制计数器(BCD码计数器)1个7段共阴数码显示器 1个LED指示灯(表示进位) 1个2实验要求 十进制计数器显示装置,从输入端输入脉冲,计数器能够记忆输入的脉冲数,并在数码显示器上显示输入的脉冲数,最大显示数目为19,其中十

28、位数用LED指示灯表示,个位数用7段数码显示器显示。3实验步骤(1)自行设计BCD-7段LED译码器、十进制计数器;(2)对所设计电路进行仿真、综合、编程下载;(3)将所设计的元器件连接,构成十进制计数器显示装置。4实验说明实验系统数码管显示模块:设计了6个共阴七段数码管如下图,数码管段选线LED_PORT(A、B、C、D、E、F、G、DP)高电平有效,数码管位选线LED_CS(LED1、LED2、LED3、LED4、LED5、LED6)高电平有效。(二) 设计一个1位BCD加法器并显示计算结果的装置1元器件:BCD-7段LED译码器,7段共阴数码显示器进位指示灯(亮表示有进位,灭表示无进位)

29、BCD码加法器电平开关(4bit2)2实验要求该装置输入两路数据(被加数与加数)后,在输入一个启动运算脉冲,加法器完成加法运算并将运算结果显示出来(7段LED显示和数,LED指示灯显示进位)。 3实验步骤(1)自行设计BCD-7段LED译码器、BCD数加法器;(2)对所设计电路进行仿真、综合、编程下载;(3)将所设计的元器件连接,构成一个一位具有进位的BCD数加法器显示装置。4实验说明实验系统数码管显示模块:设计了6个共阴七段数码管如下图,数码管段选线LED_PORT(A、B、C、D、E、F、G、DP)高电平有效,数码管位选线LED_CS(LED1、LED2、LED3、LED4、LED5、LED6)高电平有效,指示灯LED插孔低电平亮。(三)设计一个检测10位二进制代码中“1”的个数并显示检测结果的装置 设计检测10位二进制代码中“1”的个数的检测器,BCD-7段译码器(四)设计一个10秒定时器并显示计时数设计四兆分频器,十进制计数器,BCD-7段译码器(五)设计一个1秒移动一位的10位循环移位寄存器并用LED指示灯观察移动效果。(六) 设计四兆分频器,10位循环移位寄存器

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!