SICELab-5D8型数字逻辑电路实验仪2013

上传人:zhan****gclb 文档编号:204122233 上传时间:2023-04-26 格式:DOC 页数:119 大小:28.36MB
收藏 版权申诉 举报 下载
SICELab-5D8型数字逻辑电路实验仪2013_第1页
第1页 / 共119页
SICELab-5D8型数字逻辑电路实验仪2013_第2页
第2页 / 共119页
SICELab-5D8型数字逻辑电路实验仪2013_第3页
第3页 / 共119页
资源描述:

《SICELab-5D8型数字逻辑电路实验仪2013》由会员分享,可在线阅读,更多相关《SICELab-5D8型数字逻辑电路实验仪2013(119页珍藏版)》请在装配图网上搜索。

1、SICELab-5D8型数字电路实验仪实 验 指 导 书武汉欣伟福科技有限公司目 录实验系统概术3一、主要技术性能3二、数字电路实验系统基本组成4三、使用方法12四、故障排除13五、基本实验部分14实验一 门电路逻辑功能及测试14实验二 组合逻辑电路(半加器全加器及逻辑运算)18实验三 触发器(一)R-S,D,J-K22实验四 三态输出触发器及锁存器25实验五 时序电路测试及研究28实验六 集成计数器及寄存器30实验七 异步计数器33实验八 同步计数器35实验九 移位寄存器的功能测试38实验十 译码器和数据选择器43实验十一 波形产生及单稳态触发器45实验十二 555时基电路47实验十三 D/

2、A、A/D转换器 51六、选做实验部分56 实验十四 CMOS门电路测试56实验十五 TS门、OC门的功能测试及应用58实验十六 TTL不同系列芯片性能和参数的测定61实验十七 门电路的驱动能力测试63实验十八 逻辑笔实验与分析66实验十九 TTL与CMOS相互连接实验67实验二十 MSI加法器69实验二十一 竞争冒险72实验二十二 触发器应用74实验二十三 寄存器及其应用77实验二十四 计数器MSI芯片的应用81实验二十五 时序电路应用84实验二十六 顺序脉冲和脉冲分配器电路85实验二十七 施密特触发器及其应用87实验二十八 单稳态触发器及其应用90实验二十九 多路模拟开关及其应用94实验三

3、十 数字定时器97实验三十一 电压变换器99实验三十二 回路优先判决电路101实验三十三 电子校音器103实验三十四 示波器多踪显示接口104附录一 SICELab-5D8型面板图 106附录二 SICELab-5D8型面板图 108附录三 常用基本逻辑单元国际符号与非国际符号对照表109附录四 半导体集成电路型号命名法112附录五 集成电路引脚图115 实 验 系 统 概 述本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可

4、掌握现代数字电子系统的设计方法,跨入EDA设计的大门。一、主要技术性能1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。输入:AC220V10%输出:DC5V/1ADC12V/0.5A2、信号源:(1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。(2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。(3)一路连续可调频率的时钟,输出频率从1KHz100KHz的可调方波信号。(4)函数信号发生器输出波形:方波、三角波、正弦波频率范围:分四档室2

5、HZ20HZ、20HZ200HZ、200HZ2KHZ、2KHZ20HZ。3、16位逻辑电平开关(K0K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。4、16位电平指示(L0L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。5、数字显示(LED1LED8)(1)LED1LED6是由二十进制七段译码器CD4511与相应的共阴LED数码管,在每一位译码器的四个输入端A、B、C、D面板设计对应为8、4、2、1输入四位00001001之间的代码数码管即显

6、示同09的十进制数字。(2)LED7LED8两位七段显示器,段码a、b、c、d、e、f、g、h七段经1K电阻到输入插孔。6、小喇叭及驱动电路。提供时钟报进、报警、音乐用等发声装置。7、内置1K、10K、100K电位器,可作为调电压输出用。8、有四组BCD码拨码盘,可产生四组BCD码数字信号。9、内置10MHZ数字式频率计。用作频率测量。10、开放式实验区(1)提供锁紧插座14芯5只、16芯3只、20芯3只、40芯2只用于扩展实验,如A/D或D/A等。二、数字电路实验系统基本电路组成1、系统布局图1:为实验仪布局图2、电源实验系统所配电源有四路,一路为+5V/1A,另两路为12V/0.5A。电源

7、部分由电源线、电源插座、交流220V电源带灯开关和开关电源组成。电源插座和电源开关装在机箱的后面,电源插座内带有可更换的保险丝管。开关电源装在机箱内,具有短路保护、过载保护及自动恢复功能,该电源可靠性高,抗短路能力强。3、时钟电路实验系统配有10路精确的时钟:1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。10MHz时钟由石英晶体振荡器产生,精确度高。其余9路时钟由10MHZ时钟源经74HC390分频后产生。如图所示图2-1(5D8型)另外还提供一路连续可调频率的时钟,输出频率从1KHz100KHz的可调方波信号。它采用CMOS器

8、件7555组成的振荡线路。如图所示:图2-2 (5D8型)4、函数信号发生器输出波形:方波、三角波、正弦波幅值:正弦波:04V(14V为峰峰值,且正负对称)三角波:024V(24V为峰峰值,且正负对称)方 波:024V(24V为峰峰值,且正负对称)频率范围:分四档2HZ20HZ、20HZ200HZ、200HZ2KHZ、2KHZ20KHZ。函数发生器采用ICL8038单片集成函数信号发生器电路,内部它由恒流源I2和I1电压比较器A和B、触发器、缓冲器和三角波变弦波电路等组成。其原理图如图2-3(A) (5D2、5D3型) 图示2-3(B) (5D2型、5D3型)5、十六位二进制“01”电平显示器

9、(L0L15)采用6片74LS04电路驱动发光二极管。当输入端为高电平时,对应的红色发光二极管点亮,表示逻辑“1”当输入端为低电平时,对应的绿色发光二极管点亮,表示逻辑为“0”输入端每路均有保护电路。电路如图:图2-46、十六位逻辑开关(K0K15)逻辑电平开关由16个钮子开关组成,其电路如图,当开关往上拨时,产生逻辑高电平“1”;当开关往下拨时,产生逻辑低电平“0”。图2-57、单脉冲电路单脉冲电路有2路,单脉冲电路采用消抖动的R-S电路,每按一次单脉冲键,产生正、负脉冲各一个。电路如图: 图2-68、二十进制七段译码显示(1)二十进制七段译码显示器共6位,每位分a、b、c、d、e、f、g七

10、段,译码器采用CD4511,显示器采用共阴0.5英寸显示器。译码器的输入端对应每一位的8、4、2、1插孔并都有保护电路,下图为二十进制七段译码显示器电路图:图2-7(2)二十进制X段显示器图2-8LED7LED8两位七段显示器,段码a、b、c、d、e、f、g七段,经1K电阻到输入插孔。9、BCD码拨盘开关系统提供4组BCD码拨盘开关,输出4组8421码,拨盘开关的最右边为个位,最左边为千位,作为BCD码数字量输入用。10、数字频率计系统提供一个10MHZ数字显示频率计。在使用时,将频率计右边的GND插孔连到+5V中GND插孔,IN插孔接被测频率源,显示器上就会显示测得频率值。11、多频率信号源

11、(5B型有)时钟电路16M晶振、74LS04、74LS74等元件组成,其电路如图2-9A,由16M晶振、74LS04等元件组成振荡电路,再由74LS74电路分频整形输出,输出2MHz、1MHz方波信号。再由1MHz方波信号经6级十进制分频,产生100KHz、10KHz、1KHz、100Hz、10Hz、1Hz方波信号,见图2-9B。12、时序发生器及启停电路(5D2型有)时序发生器及启停电路如图2-10,MF为时钟输入端,时钟频率可从1MHz、100KHz中选择1个连接。KB开关为单拍和连续输出时序信号选择开关,当开关往上拨时,输出单拍的时序信号;当开关往下拨时,输出连续的时序信号。时钟选择信号

12、的出厂连接为1MHz。图2-10。13、连续可调脉冲采用双时基电路和由工关KA切换通过电位器调节经双二进制加法计数器产生1Hz5KHz连续可调方波,另一组为4路固定频率方波,频率为200KHz、100KHz、50KHz、25KHz,见图2-11。14、小喇叭及驱动电路图2-12(5D8型)这部分由可控振荡电路、喇叭和驱动电路组成。如图所示。当DJ1用短路片接通时,它是一个声源,可做报警或报时使用。如果“KONGZHI”插孔接高电平,则振荡电路输出频率为2KHZ左右的方波,驱动喇叭鸣叫。当控制插孔接低电平时,振荡电路输出为低电平,喇叭不鸣叫。当DJ1开路时,可从“IN”插孔向喇叭的驱动三极管基极

13、送一定频率的方波信号,直接控制喇叭按希望的频率变化发声,供音乐实验用。15、可编程逻辑器件电路实验(选配)实验系统右上角可按装一块600门的PLD芯片,ispls1032E下载(EDA-1032),用户使用ispEXPERT软件设计的软件设计的软件逻辑通过1032E模块板其下边的ISP接口下载到PLD芯片中,成为硬件逻辑电路。用户在下载完PLD配置数据后,可用导线将PLD芯片与周围有关的输入电路,输出电路、控制电路相连,从而来验证所设计的逻辑是否正确。如果有错误或需修改逻辑功能,可以很方便地重新设计、编译和下载,真正达到“硬件设计软件化”的目标。通过ispEXPERT软件的学习和使用,也可掌握

14、现代数字电子系统的设计方法,跨入EDA设计的大门。(5B、5D2型、5D8型)。Lattice ilpls1032E EDA-1032E(ABEL语言)Altera EPF10k10 EDA-10K10(VHDL语言)三、使用方法:1、将标有220V的电源线扦入市电扦座,接通开关,面板指示灯亮,表示实验器电源工作正常。2、连接线:本实验器采用叠扦式专用扦接线,连接牢固可靠,且可一点叠扦,扦入后按顺时针方向旋转20-30度即锁紧,不要过于用力,以免扦入太紧不易拆除,拨出时按逆时针旋转。注意:拨出时不要直接拉导线,以免损坏导线。3、IC扦入扦座前应调整好双列引脚间距,注意I C芯片的缺口方向,仔细

15、对准扦座缺口后均匀压入。拔出时需用螺丝刀从两边轻轻翘起。4、面板上IC扦座均未接电源,实验时应按扦入IC的引脚接好相应的电源线才能正常工作。5、实验前应先阅读指导书,在断开电源开关的状态下按实验线路接好连接线,检查无误后再接通主电源。6、实验时,应根据导线的长度,合理使用,不要用太长的导线,并尽量把各种颜色的导线配合使用,当需要更改接线或元器件时,应当关断电源开关,插错或多余的线要拔去,不能一端扦在电路上,另一端悬空,防止碰到其它电路元件上。7、实验完毕整理数据,经指导老师同意后,可关断电源拔出电源插头,拆除连线,并整理好放在实验器内。四、维护及故障排除1、维护(1)防止撞击跌落(2)用完后拨

16、下电源插头,并关闭机箱,防止灰尘、杂物进入机箱。(3)多次使用后可能发生连接线内部接触不良或断开的故障,当实验连接发生故障时应检查连线。2、故障排除(1)电源无输出:实验箱电源插座内初级接有1.5A熔断器。当输出短路或过载时有可能烧断熔断管,如烧断,需更换同规格熔断管。(2)信号源、电源、线路区部分异常如元器件有发烫、异味、冒烟、若发现应立即关断电源,保持现场並报告指导老师,找出原因,排除故障,经指导老师同意后再继续实验。注意:打开实验板时必须拨下电源插头!实验一 门电路逻辑功能及测试一、实验目的1. 熟悉门电路逻辑功能2. 熟悉数字电路实验仪及示波器使用方法二、实验仪器及材料1. 双踪示波器

17、2. 器件74LS00 二输入端四与非门 2片74LS20 四输入端双与非门 1片74LS86 二输入端四异或门 1 片74LS04 六反相器 1片三、预习要求1. 复习门电路工作原理及相应逻辑表达式。2. 熟悉所用集成电路的引线位置及各引线用途。3. 了解双踪示波器使用方法。四、实验内容&实验前按实验仪使用说明先检查电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。1.测试门电路逻辑功能(1).选用双四输入与非门74LS20一只,插入14P锁紧插座上

18、按图1.1接线、输入端接K1-K16(电平开关输出插口),输出端接电平显示发光二极管(L1-L16任意一个)(2).将电平开关按表1.1置位,分别测输出电压及逻辑状态。表 1.1输 出输 出1245Y电压(V)HHHHLHHHLLHHLLLHLLLL2异或门逻辑功能测试(1).选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接电平开关,输出端A、B、Y接电平显示发光二极管。(2).将电平开关按表1.2置位拨动,将输出结果填入表中。表 1.2输 入输 出ABYY电压(V)LLLLHLLLHHLLHHHLHHHHLHLH3、逻辑电路的逻辑关系(1).用74LS00、按图1.3

19、,1.4接线,将输入输出逻辑关系分别填入表1.3、表1.4中,输 入输 出ABYLLLHHLHH 表1.3表1.4输 入输 出ABYZLLLHHLHH(2).写出上面两个电路逻辑表达式。4. 逻辑门传输延迟时间的测量。用六反相器(非门)按图1.5接线,输入100KHz连续脉冲,用双踪示波器测输入、输出相位差,计算每个门的平均传输延迟时间的tpd值。5.利用与非门控制输出。用一片74LS00按图1.6接线,S接任一电平开关,用示波器观察S对输出脉冲的控制作用。6.用与非门组成其它门电路并测试验证。(1)、组成或非门。用一片二输入端四与非门组成或非门Y=A-B=AB=AB画出电路图,测试并填表1.

20、5表1.5 表1.6输 入输 出ABYABY0000010110101111(2).组成异或门(a)将异或门表达式转化为与非门表达式。(b)画出逻辑电路图。(c)测试并填表1.6。五、实验报告1.按各步骤要求填表并画逻辑图。2.回答问题:(1)怎样判断门电路逻辑功能是否正常?(2)与非门一个输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过?(3)异或门又称可控反相门,为什么?119实验二 组合逻辑电路(半加器全加器及逻辑运算)一、实验目的1.掌握组合逻辑电路的功能测试。2.验证半加器和全加器的逻辑功能。3.学会二进制数的运算规律。二、实验仪器及材料器件74LS00 二输入端

21、四与非门 3片74LS86 二输入端四异或门 1 片74LS54 四组输入与或非门 1片三、预习要求1.预习组合逻辑电路的分析方法。2.预习用与非门和异或门构成的半加器、全加器的工作原理。3.预习二进制数的运算。四、实验内容1.组合逻辑电路功能测试。(1).用2片74LS00组成图2.1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。(2).图中A、B、C接电平开关,Y1,Y2接发光管电平显示。(3).接表2.1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式。(4).将运算结果与实验比较。表2.1输 入输 出ABCY1Y20000010111111101001

22、010102.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可有一个集成异或门和二个与非门组成如图2.2。图2.2(1).在实验仪上用异或门和与门接成以上电路。A、B接电平开关K,Y,Z接电平显示。(2).按表2.2要求改变A、B状态,填表。表2.2输入端A0101B0011输出端YZ3.测试全加器的逻辑功能。(1).写出图2.3电路的逻辑表达式。(2).根据逻辑表达式列真值表。(3).根据真值表画逻辑函数SiCi的卡诺图。表2.3AiBiCi-1YZX1X2X3SiCi00001010011000

23、1011101111(5)按原理图选择与非门并接线进行测试,将测试结果记入表2.4,并与上表进行比较看逻辑功能是否一致。4. 测试用异或、与或和非门组成的全加器的逻辑功能。全加器可以用两个半加器和两个与门一个或门组成,在实验中,党用一块双异或门、一个与或非门和一个与非门实现。(1).画出用异或门、与或非门和非门实现全加器的逻辑电路图,写出逻辑表达式。(2).找出异或门、与或非门和与门器件按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。(3).当输入端Ai、Bi及Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入下表。表2.4AiBiCi-1CiSi00001

24、0100110001011101111五、实验报告1.整理实验数据、图表并对实验结果进行分析讨论。2.总结组合逻辑电路的分析方法。实验三 触发器(一)R-S,D,J-K一、实验目的1. 熟悉并掌握R-S,D,J-K触发器的构成,工作原理和功能测试方法。2. 学会正确使用触发器集成芯片。3. 了解不同逻辑功能FF相互转换的方法。二、实验仪器及材料1. 双踪示波器2. 器件 74LS00 二输入端四与非门 1片74LS74 双D触发器 1片74LS112 双J-K触发器 1片三、实验内容1.基本R-SFF功能测试:两个TTL与非门首尾相接构成的基本R-SFF的电路如图3.1所示。(1)试按下面的顺

25、序在d, d端加信号:d=0 d=1d=1 d=1d=1 d=0d=1 d=1观察并记录FF的Q、端的状态,将结果填入下表3.1中,并说明在上述各种输入状态下,FF执行的是什么功能?表 3.1ddQ逻辑功能01111101(2)d端接低电平,d端加脉冲。(3)d端接高电平,d端加脉冲。(4)连接dd并加脉冲。记录并观察(2)、(3)、(4)三种情况下,Q,端的状态。从中你能否总结出基本R-S FF的Q或端的状态改变和输入端d 、d的关系。(5)当d 、d都接低电平时,观察Q、端的状态。当d 、d同时由低电平跳为高电平时,注意观察Q、端的状态,重复35次看Q、端的状态是否相同,以正确理解“不定”

26、状态的含义。2. 维持-阻塞型D触发器功能测试双D型正边沿维持-阻塞型触发器74LS74的逻辑符号如图3.2所示。图中d 、d端为异步置1端,置0端(或称异步置位,复位端)。CP为时钟脉冲端。试按下面步骤做实验:(1)分别在d 、d别端加低电平,观察并记录Q、端的状态。(2)令d 、d端为高电平,D端分别接高,低电平,用点动脉冲作CP,观察并记录当CP为O、1、时Q端状态的变化。(3)当d =d=1 、CP=0(或CP=1),改变D端信号,观察Q端是否变化?整理上述实验数据,将结果填入下表3.2中。(4)令d =d =1,将D和端相连,CP加连续脉冲,用双踪波器观察并记录Q相对于CP的波形。表

27、 3.2ddCPDQnQn-101XX0110XX0111001111013负边沿J-K触发器功能测试双边J-K负边沿触发器74LS112芯片的逻辑符号如图3.3所示。自拟实验步骤,测试其功能,并将结果填入表3.3中。若令J=K=1时,CP端加连续脉冲,用双踪示波器观察QCP波形,和DFF的D和端相连时观察到的Q端的波形相比较,有何异同点?4.触发器功能转换(1).将D触发器和J-K触发器转换成T触发器,列出表达式,画出实验电路图。(2).接入连续脉冲,观察各触发器CP及Q端波形。比较两者关系。(3).自拟实验数据表并填写之。表3.3ddCPJKQn01XXXX10XXXX110X0111X0

28、11X0111X11四、实验报告 1整理实验数据并填表。 2写出实验内容3、4的实验步骤及表达式。 3画出实验4的电路图及相应表格。 4总结各类触发器特点。实验四 三态输出触发器及锁存器 一、实验目的 1掌握三态触发器和锁存器的功能及使用方法。 2学会用三态触发器和锁存器构成的功能电路。二、实验仪器及材料 1双踪示波器 一台 2器件 CD4043 (三态输出四RS触发器) 一片 74LS75 (四位D锁存器) 一片三、实验内容 1锁存器功能及应用 图4.1为74LS75四D锁存器,每两个D锁存器由一个锁存信号G控制,当G为高电平时,输出端Q随输入端D信号的状态变化,当G由高变为低时,Q锁存在G

29、端由高变低前Q的电平上。(1)验证图4.1锁存器功能,并列出功能状态表。(2)用74LS75组成数据锁存器按图4.2接线,1D-4D接逻辑开关作为数据输入端,G1-2和G3-4接到一起作为锁存选通信号ST,1Q4Q分别接到7段译码器的8、4、2、1端,数据输出由数码管显示。设:逻辑电平H为“1”,L为“0” ST=1,输入0001,0011,0111,观察数码管显示。ST=0,输入不同数据,观察输出变化。2三态输出触发器功能及应用 4043为三态RS触发器,其包含有4个RS触发器单元,输出端均用CMOS 传输门对输出状态加以控制。当传输门截止时,电路输出呈“三态”,即高阻状态。管脚排列见图43

30、。 (1)三态输出RS触发器功能测试 验证RS触发器功能,并列出功能表。 注意:(a)不同的输入端必须接地,输出端可悬空。 (b)注意判别高阻状态,参考方法:输出端为高阻状态时用万用表电压档测量电压为零,用电阻档测量电阻为无穷大。 (2)用三态触发器4043构成总线数据锁存器 图44是用4043和一个四2输入端与非门4081(数据选通器)及一片4069 (做缓冲器)构成的总线数据锁存器。 (A)分析电路的工作原理。(提示:ST为选通端,R为复位器,EN为三态功能控制端)。 (B)写出输出端Q与输入端A、控制端ST、EN的逻辑关系。 (C)按图接线,测试电路功能,验证(1)的分析。注意:4043

31、的R和EN端不能悬空,可接到逻辑开关上。四、思考和选做 1图42中,输出端Q与输入端A的相位是否一致?如果想使输出端与输入端完全一致,应如何改动电路?2如果将输入端A接不同频率脉冲信号,输出结果如何?试试看。五、实验报告1. 总结三态输出触发器的特点。2. 整理并画出4043和74LS75的逻辑功能表。3. 比较图4.2和图4.4锁存器的异同,总结锁存器的组成、功能及应用。图4.4实验五 时序电路测试及研究一、实验目的 1掌握常用时序电路分析,设计及测试方法。2训练独立进行实验的技能。二、实验仪器及材料 1双踪示波器 2器件 74LS73 双JK触发器 2片 74LS175 四D触发器 1片

32、74LS10 三输入端三与非门 1片 74LS00 二输入端四与非门 1片三、实验内容 1. 异步二进制计数器(1)按图5.1接线。 (2). 由CP端输入单脉冲,测试并记录Q1Q4端状态及波形。 (3)试将异步二进制加法计数改为减法计数,参考加法计数器,要求实验并记录。 2异步二十进制加法计数器 (1)按图5.2接线。QA、QB、Qc、QD4个输出端分别接发光二极管显示,CP端接连续脉冲或单脉冲。 (2)在CP端接连续脉冲,观察CP、QA、QB、Qc及QD的波形。 (3)画出CP、QA、QB、Qc及QD的波形。3. 自循环移位寄存器环形计数器。 (1)按图5.3接线,将A、B、c、D置为10

33、00,用单脉冲计数,记录各触发器状态。 改为连续脉冲计数并将其中一个状态为“0”的触发器置为“1”(模拟干扰信号作用的结果),观察计数器能否正常工作。分析原因。 (2)按图54接线,与非门用74LS10三输入端三与非门重复上述实验,对比实验结果,总结关于自启动的体会。 四、实验报告 1画出实验内容要求的波形及记录表格。 2总结时序电路特点。实验六 集成计数器及寄存器一、实验目的 1熟悉集成计数器逻辑功能和各控制端作用。2掌握计数器使用方法。二、实验仪器及材料 1双踪示波器 2器件 74LS290 十进制计数器 2片 74LS00 二输入端四与非门 1片三、实验内容及步骤 1集成计数器74LS2

34、90功能测试。 74LS290是二五十进制异步计数据。 逻辑简图为图6.1所示 74LS290具有下述功能:直接置0(Ro(1)R0(2)=1),直接置9(S9(1) S9(2)=1)二进制计数(CP1,输入QA输出)五进制计数(CP2输入QDQcQB输出)十进制计数(两种接法如图62A、B所示)按芯片引脚图分别测试上述功能,并填入表6.1、表6.2、表6.3中。 2计数器级连分别用2片74LS290计数器级连连成二五混合进制、十进制计数器。 (1) 画出连线电路图。 (2) 按图接线,并将输出端接到数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。 (3) 画出四位十进制计数器连

35、接图并总结多级计数级连规律。 表6.1功能表 表6.2二-五混合进进制 表6.3十进制R0(1) R0(2) S9(1) S9(2)输 出QDQCQBQA计数输 出计数输 出出QAQDQCQBQDQCQBQAHHLX00HHXL11XXHH22XLXL33LXLX44LXXL55XLLX6688993任意进制计数器设计方法采用脉冲反馈法(称复位法或置位法),可用74LS290组成任意模(M)计数器。图63是用74LS290实现模7计数器的两种方案,图(A)采用复位法,即计数计到M异步清0,图(B)采用置位法,即计数计到M1异步置0。当实现十以上进制的计数器时可将多片级连使用。图6.4是45进制

36、计数的一种方案,输出为8421 BCD码。 (1)按图6.4接线,并将输出接到显示器上验证。 (2)设计一个六十进制计数器并接线验证。(3)记录上述实验各级同步波形。四、实验报告 1整理实验内容和各实验数据。 2. 画出实验内容1、2所要求的电路图及波形图。 3总结计数器使用特点。 实验七 异步计数器一、实验目的1.掌握异步二进制计数器的工作原理。2.测试集成电路74LS74的逻辑功能。二、实验仪器及材料1.示波器 1台2.万用表 1台3.74LS74 2片三、预习要求1.如何构成三位异步减计数器。2.计数器还有其他什么应用?四、实验原理1.异步二进制加计数器异步计数器是计数脉冲加到第一级触发

37、器的CP端,第一级触发器的输出Q1接到第二级触发器的CP端;Q2接第三级触发器的CP端图中各触发器的反输出端与该触发器的D输入端相连,(即Di=Qi)把解触发器转换成计数型触发器。同时,各反端又与相邻高位触发器的时钟脉冲输入端相连。图 7-1图7-1为上升沿触发且具有T,功能的触发器构成的三位二进制加计数器C1端加CP脉冲信号,在表7-1中记下结果。表7-1输 入输 出 CP脉冲Q0Q1Q21234 6782.组件介绍图7-2 74LS74外引脚排列图五、实验内容1.利用74LS74设计一个三位二进制异步计数器。2.测试74LS74的逻辑功能。六、实验报告写出设计过程,画出实验电路图,整理实验

38、数据。实验八 同步计数器一、实验目的1. 掌握计数器的工作原理及电路组成。2. 测试集成电路74LS161四位二进制递加计数器。二、实验仪器及材料1、示波器 1台2、万用表 1台3、74LS161 1片三、实验原理同步计数器每个触发器的时钟端均应接同一个时钟脉冲源,各触发器如要翻转,应在时钟脉冲作用下同时翻转,因此时钟端不能再由其他触发器来控制。1.二进制加数器4位二进制加计数器图 8-1由图知 J0=K0=1J1=K1=Q0J2=K2=Q0n Q1nJ3=K3=Q0n Q1n Q2n计数器的状态方程为 Qn+1=J0Q0n+K0QONn=Q0nQIN+1=Q0nQ1n+QOn Q1nQzN+

39、1=Q0nQ1nQ2n+QOn Q1n Q2nQ3n+1=Q0nQ1nQ2nQ3n+QOn Q1n Q2n Q3n上述方程均在CP下跳沿有效。计数器的输出即进位C0=Q3n Q2n Q1n Q0n计数前应清零,以后每当输入一个脉冲,计数器将按加1规律变化由0000000100100011111100004位二进制计数器状态转换表表8-1输入脉冲序号QsnQ2nQ1nQQnQ3n+1Q2n+1Q1n+1Q0n+1新进GO1000020001300104001150100601017011080111910001010011110101210111311001411011511101611112.

40、二进制递减计数器的连接如图8-2。图 8-2每输入一个脉冲,计数器减一,计数状态变化规律为1514210。每输入一个脉冲,第一级触发器翻转,J0=K0=1,当第一级触发器为0时,再输入一个脉冲,要向第二级触发器借位,使第二级翻转,故要求J1=K1=0,依此类推,J2=K2=01,对于4位二进制递减计数器,当各位均为0时,输入一个脉冲,必然产生向高位的借位,B0=012 3。四、预习要求1. 复习利用集成计数器构成任意进制计数器的设计方法。2.画好实验电路图,拟定实验步骤。五、实验内容利用74LS161构成模十三计数器,用两种方法实现即反馈清零法和反馈置数法。六、实验报告1.写出设计过程,画出实

41、验电路图。2.整理实验结果。3.体会与建议。实验九 移位寄存器的功能测试一、实验目的1.掌握移位寄存器的工作原理及电路组成。2.测试集成电路74LS194四位双向移位寄存器的逻辑功能。二、实验仪器及材料1.万用表 1台2.示波器 1台3.74LS74 2片,74LS194 1片三、预习要求1.移位寄存器有哪些应用?2.在串并行转换中,若二进制代码高位在前,低位在后,移位寄存器应采用哪种方式传输?四、实验原理1.单向移位寄存器移位寄存器是一种由触发器链型连接组成的同步时序网络。每个触发器的输出连到下级触发器的控制输入端,在时钟脉冲作用下,存储在移位寄存器中的信息,逐位左移或右移。图9-1所示电路

42、是由D触发器组成的四位右移位寄存器,图9-2所示电路是左移位寄存器。移位寄存器的清零方式有两种:一种是将所有触发器的清零端CLR()连在一起,置位端PR()连在一起;当=0,S=1时,Q端为0。这种方式称为异步清零,另一种方法是在串型输入端输入“0”电平,接着从CLK端送4个脉冲,则所有触发器也可清至零状态。这种方式称为同步清零。2. 双向移位寄存器74LS194为集成的四位双向移位寄存器,图9-3为引线排列图。CLK-时钟脉冲输入端 CLR-清除端(低电平有效)A、B、C、D并行数据输入端L左移串行数据输入端R右移串行输入端S0、S1工作方式控制端QAQD输出端当清除端(CLR)为低电平时,

43、输出端(QAQD)均为低电平。当工作方式控制端(S0、S1)均为高电平时,在时钟(CLK)上升沿作用下,并行数据(A、B、C、D)被送入相应的输出端(QA-QD),此时串行数据被禁止;当S0为低电平,S1为高电平时,在CLK上升沿作用下进行右移操作,数据由R送入;当S0和S1均为高平时,在CLK上升沿作用下进行左移操作,数据由L送入;当S0和S1均为低平时,CLK被禁止。五、实验内容1.由D触发器构成的单向移位寄存器。触发器D触发器74LS74,连接电路时在实验装置上插入相应位置。右向移位寄存器按图9-1接线CLK接单脉冲插孔,R、S、Di端接相应电平,用同步清零法或异步清零法清零。清零后应将

44、R和S置高电平.将Di置高电平并且输入一个CLK脉冲,即将数码送入了QA.然后将Di置低电平,再输入三个CLK脉冲,此时已将数码DCBA=1000单行送入寄存器,并完成数码1的右向移动过程。毎输入一个CLK脉冲,同时观察QA-QD的状态显示,并将结果填入表9-1中表9-1CPDiQAQBQcQD00000011203040左向移位寄存器同理按图9-2接线,进行左向移位实验,并将结果填入表9-2中。表9-2CPDiQaQBQcQD000000112030402.测试74LS194的逻辑功能将74LS94插入实验区内对应16脚空插座中,插入时应将集成块上的缺口对准插座缺口。按图9-4接线。送数(并

45、行输入)接通电流,将CLR端置低电平。使寄存器清零,观察QAQD状态应为0。清零后将CLR端置高电平。令S0=1,S1=1,在00001111之间任选几个二进制数,由输入端A、B、C、D送入,在CLK脉冲作用下,看输出端QAQD状态显示是否正确,将结果填入表9-3中。表9-3序号输 入输 出ABCDQAQBQCQD100002100031010401015111161100右移将QD接R,即将12管脚与2脚连接,清零。令 S0=1,S1=1,送数QD、QC、QB、QA=0001。然后S0=1,S1=1,连续发出4 个CLK脉冲。观察QAQD状态显示,并填入表9-4中。表9-4输入输 出Cp脉冲

46、数QAQBQCQD010001234左移将QA接到L(即将脚15与7连接)。清零。令S0=1,S1=1,送数QDQCQBQA=1000,然后令S0=0,S1=1,连续发出4个CLK脉冲,观察QAQD状态显示,并填入9-5。表9-5输入输 出Cp脉冲数QAQBQCQD000011234保持清零后送入一个4位二进制数,例如为QDQCQBQA=0101,然后S0=0,S1=1连续发出4个CLK脉冲,观察QAQD的状态显示,并记入表9-6中。表9-6输入输 出Cp脉冲数QAQBQCQD01010123五、实验报告1 整理实验结果。2 设计由D触发器组成的双向移位寄存器,只画出逻辑图。实验十 译码器和数据选择器一、实验目的 1. 熟悉集成译码器。2了解集成译码器应用。二、实验仪器及材料 1双踪示波器 2. 器件 74LSl39 2-4线译码器 1片 74LS153 双4选1数据选择器 1片 74LS00 二输入端四与非门 1片三、实验内容 1译码器功能测试 将74LSl39译码器按图101接线,按表10.1输入电平分别置位,填输出状态表 表10.1输 入输出使用选择GBAY0 Y1 Y2 Y3HLLLLXLLHHXLHLH图 10.1 2译码器转换 将双24线译码器转换为38线

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!