计算机组成原理课程设计报告16位模型机的设计

上传人:ta****u 文档编号:199004040 上传时间:2023-04-10 格式:DOCX 页数:56 大小:845.77KB
收藏 版权申诉 举报 下载
计算机组成原理课程设计报告16位模型机的设计_第1页
第1页 / 共56页
计算机组成原理课程设计报告16位模型机的设计_第2页
第2页 / 共56页
计算机组成原理课程设计报告16位模型机的设计_第3页
第3页 / 共56页
资源描述:

《计算机组成原理课程设计报告16位模型机的设计》由会员分享,可在线阅读,更多相关《计算机组成原理课程设计报告16位模型机的设计(56页珍藏版)》请在装配图网上搜索。

1、石家庄经济学院华信学院计算机组成原理课程设计报告计算数据块中所有数据的累加和并存储到内存单元中题目姓名学 号01班 号一班指导老师成 绩2014年1月一、引言3设计目的3设计任务3二、详细设计及实现4拟定指令系统4指令格式6寻址方式6三、16位CPU的模型10各功能部件的 VHDL 设计121 6位模型机的设计与实现27四、总结32五、参考文献:32六、代码33一、引言设计目的为掌握并巩固本学期所学习的计算机组成原理知识,设计本次试验。运用 整合所学的相关知识,一步步设计构造一台基本的模型计算机,明确计算机的控 制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设 计方法,提

2、高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 设计任务本机功能:计算数据块中所有数据的累加和并存储到内存单元中。汇编代码如下:START:MOVSI,OFFSETSRC1;源操作数地址送SIMOVDI,OFFSETDXT;目的操作数地址送DIMOVBX,OFFSETSRC2;结束地址送BXMOVBL0;设置BL中初值为0NEXT: MOV AL,SI ;取数ADDBL , AL; 数据相加MOVDI,AL;存数CMPSI,BXJESTART;如果SI=BX,则转向STARTINCSI;修改源地址JMPNEXT;转向NEXT详细设计及实现拟定指令系统分析:1)依据汇编语言程序得

3、出部件间的数据流的方向为立即数T寄存器寄存器内存内存操作数采用寄存器间址寻址方式源与目的寄存器内容比较,如果源等于目的转移,转向地址在指令中提 供寄存器加1指令无条件转移指令,转向地址在指令中提供。2)指令类型(指令中的立即数为一个字即16位)立即数T寄存器需要在指令中指出寄存器及立即数如:LOADI R0, 10H,此指令为双字指令内存操作数T寄存器需要在指令中指出间址寄存器及目的寄存器如:LOAD R3,R1;指令为单字指令寄存器T内存需要指令中指出源寄存器及间址寄存器如:STORE R2, R7; 指令为单字指令寄存器内容比较产生转移的指令需要指令中指出源、目的寄存器以及转向的地址如:B

4、RANCHEQI 0000H;指令为单字指令寄存器加1指令指令中指出寄存器如:INC R1,指令为单字指令无条件转移指令指令中提供转向地址如:BRANCHI 0008H; 指令为单字指令指令格式1)单字指令格式操件码|旳操乍做OpcodeSE.C1 :,:;T151斗1 3121154321单指令但操作数:INC BRANCHI单指令双操作数:mov load st ore LOADI2)双字指令格式目的诱曲OpcodeSRCXST151.413121 15432| ttie位15141312111037占54321a双字三操作数:BRANCHEQI BRANCHGTI操作码指令功能00001

5、LOAD将奇存器间址的存储单兀内谷装载到奇存器00010STORE将奇存器的数据存入到奇存器间址的存储单兀00011MOV将源寄存器内容传送到目的寄存器00100LOADI将立即数装入到寄存器00101BRANCHI无条件转移到由立即数指定的地址00110BRANCHEQI如果源寄存器内容等于目的寄存器的内容,则转移 到由立即数指定的地址00111INC寄存器内容加1指令01000ADD数据相加寻址方式寻址方式含义立即数寻址指令格式中源操作数为立即数寄存器间址寻址方式寄存器中操作数的地址直接寻址主存中为操作数依据以上设计的指令系统,将主存中数据累加并存储到内存单元中的程序如下:地址机器码指令功

6、能说明OOOOH2001HLOADI R1, 0010H源操作数地址送R10001H0010H0002H2002HLOADI R2, 0030H目的操作数地址送R20003H0030H0004H2006HLOADI R6, 0016H结束地址送R60005H0016H0006H2007HLOAD R7, 0R7内放入0初始化最小值0007HOOOOH0008H080BHLOAD R3, R1取数0009H401FHADDR7, R3相加OOOAH103AHSTORE R2,R7存数OOOBH300EHBRANCHEQI 0000H如果R6内容等于R1的内容,则转向OOOCH0000H0000H

7、OOODH3031HINCR1修改源地址OOOEH2800HBRANCHI 0008H无条件转移到由立即数指定的地址OOOFH0008H存储器初始化文件的内容:66000000000000000og0000000000000000000000000000008S000000000000000000000000000000OS0000000000000000000000000000008frOOQOOOOQQOOOOQOO0000QOOOOQOOOOQQ叶OOQOOOOQQOOOOQOO0000QOOOOQOOOOQQ8E00000000000000000000000000000000OE000

8、000000000000000000000000000es000000000000000000000000000000OS三、16位CPU的模型图11丘位CFU的模型图1是采用单总线结构的16位CPU结构图,包含了基本的功能模块,由寄 存器阵列构成的8个16位寄存器RegO Reg7 ;工作寄存器OpReg;算术逻辑 运算部件ALU;移位器Shifter;输出寄存器OutReg ;比较器Comp ;指令寄存器 InstrReg;程序计数器ProgCnt;地址寄存器AddrReg。所有功能模块共用16 位的三态数据总线进行信息的传送。控制器模块由状态机实现,控制器依据指令、数据通路通过控制线向各

9、功能 模块发出控制命令。由寄存器阵列构成的8个16位寄存器Reg0-Reg7的优点是节省资源、使 用方便。它们共用一个三态开关,由控制器选择与数据总线的连接。工作寄存器OpReg可为ALU、比较器Comp提供一个操作数,而ALU、比较 器的另一操作数直接来自数据总线。将ALU、移位器Shifter、输出寄存器OutReg串接起来,由控制器统一控制 来共同完成一些复杂的操作,由输出寄存器OutReg存储结果,输出寄存器OutReg 向总线的输出端含有三态开关。比较器Comp由控制器直接控制,比较的结果进入控制器。地址寄存器AddrReg提供要访问的主存单元的地址,本设计中将程序和数据 放在同一存

10、储器中。程序计数器ProgCnt,不具备清零和+1的功能,存放CPU要执行的下一条 指令的地址。指令寄存器InstrReg存放CPU正在执行的指令。工作寄存器OpReg,输出寄存器OutReg,指令寄存器InstrReg,程序计数器 ProgCnt,地址寄存器AddrReg,均为最基本的寄存器。为了验证CPU的功能,增加存储器,存储器中存入完成数据块传送所需的程 序和数据。系统中所有向数据总线输出数据的模块,其输出口必须使用三态控制器。模型机的实际电路如图2所示::阿知 讪-f Ti n I:n- -.: 一 -:-殆-w日咛-过師.厂.-产.僅町图2 顶层实体模拟图:匸 ww.衝M 筋邓 r

11、j各功能部件的VHDL设计程序包:说明数据类型、运算器的功能、移位寄存器的操作、比较器的比较 类型和用于cpu控制的状态类型。运算器的设计功能:Sel输入操作说明0000C= A通过PASS0001C= A AND B与0010C= A OR B或0011C= NOT AILLTIT0100C= A XOR B异或0101C= A + B加法0110C= A - B减法0111C= A + 1加11000C= A - 1减11001C= 0清零说明:a15.O来自于总线,b15.O来自于工作寄存器OpReg, c15.O输 出送至移位器。sel3.O来自于控制器发出的控制信号aluSel3.O

12、,选 择 ALU 的操作。运算器功能仿真波形图如图3所示:V:=due :at11D. heps20. 0 ns40. D ns60. 0 ns80.0 ns100. 0 nsno. a ils 3 B sel cH lLlOOH 1234B lLllOH l:ll:ll:ll:l(I:100C;:;-231;(ocoo X cooi X ooio X ooh X oioo X 0101 X onoom X idoo X 1001 y 1010 ;1000X 1234 X EFFF X 0幻4 X 2234 X FDC:1031 , O?FF X000;图3运算器功能仿真波形图仿真结果说明:当

13、se l为0000时,进行直传的操作。通过pass,则c=a,为1000。当sel为0001时,进行与的操作。a=1000,b=1234,与之后c为1000。当sel为0010时,进行或的操作。a=1000,b=1234,c为1234。当sel为0011时,进行非的操作。a=1000,a为EFFF。当sel为0100时,进行异或的操作。a xor b,c为0234。当 sel为0101时,进行加法的操作。a=1000,c为2234。当sel为0110时,进行减法的操作。a=1000,c为FDCC。当sel为0111时,进行加1的操作。a=1000,c为1001。当sel为1000时,进行减1的

14、操作。a=1000,c为0FFF。当sel为1001时,进行清0操作,全部置0.比较当se l为1010时,为类型其他,全部输出0.器的设计instlsel3.O 1b15.O compout a15.0 comp功能:t_comp比较类型操作说明000Eq(等于)若 a=b,compout=1001Neq(不等于)若 ab,compout=1010吐(大于)若 ab,compout=1011gte (大于等于)若 a=b,compout=1100IT (小于)若 ab,compout=1101Ite (小于等于)若 a田a田b田seicompoutH lULlLlH 1234B 0110H

15、Uc1LILILI(1234D(0000 )(0001 )(0010 )(0011 )(0100 )(0101 )(ono ;_Z11图4比较器功能仿真波形图仿真结果说明: sei为000时,进行比较是否相等的操作,1000不等于1234,compout=0sei为001时,进行比较是否不等于的操作,1000不等于1234,compouT=1sel为010时,进行比较是否大于的操作,10001234,compout=0sel为011时,进行比较是否大于等于的操作,10001234,compout=0sel为100时,进行比较是否小于的操作,10001234,compout=1sel为101时,

16、进行比较是否小于等于的操作,10001III-.1 1 IH X 1 1 1 T X 1IIII1卜E MB 111:nnX rriX r irX ni iX inr)n )nn X hielk3i 11 1 1 1111 1 1Ei ih oooeDOOXCCCI Yli33yocc )(0000000:300 )(CCX3(3O00CC COOGyOOOCXcar Y3000 JiCCCC 图7寄存器组功能仿真波形图0 - 10ns,sel=OOO选中RO,当elk上升沿时,将data的数据0001写入R0, q=0001 10-20ns,sel=001选中R1,当elk上升沿时,将dat

17、a的数据0002写入R1,q=0002 20-30ns,sel=010 选中 R2,当 elk 上升沿时,将 data 的数据 0003 写入 R2,q=0003 30-40ns,sel=011选中R3,当elk上升沿时,将data的数据0004写入R3,=0004 40-50ns,sel=100选中R4,当elk上升沿时,将data的数据0005写入R4,q=0005 50-60ns,sel=101 选中 R5,当 elk 上升沿时,将 data 的数据 0006 写入 R5,=0006 60-70ns,sel=110选中R6,当elk上升沿时,将data的数据0007写入R6,q=0007

18、 70-80ns,sel=111 选中 R7,当 elk 上升沿时,将 data 的数据 0008 写入 R7,q=0008时序电路功能说明:产生电位信号step和脉冲信号t4,每个step的上升沿状态机的状态发生 改变,t4与寄存器的写信号相与作为寄存器的同步打入控制信号。时序电路的功能仿真波形图如图8所示:1919Value 3110.0 ItclrB 0匚lkB 1stepB 0B 020. a ns40. 0 ns&0.C图8时序电路的功能仿真波形图仿真结果说明:0-10ns, clr 高电平,t4=0, step 初始值为 0;10-15ns,clr低电平,elk为低电平,t4,st

19、ep保持不变;15-20ns, elr 低电平,elk 上升沿,x=000+1=001, t4 = x(1) and x(0)=0 and0=0,step=x(1)=0;20-25ns, elr低电平,elk为低电平,t4, step保持不变;25-30ns, elr 低电平,elk 上升沿,x=001+1=010, t4 = x(1) and x(0)=0 and0=0,step=x(1)=0;30-35ns, elr低电平,elk为低电平,t4, step保持不变;35-40ns, elr 低电平,elk 上升沿,x=010+1=011, t4 = x(1) and x(0)=1 and0

20、=0,step=x(1)=1;40-45ns, elr低电平,elk为低电平,t4, step保持不变;45-50ns, elr 低电平,elk 上升沿,x=011+1=100, t4 = x(1) and x(0)=1 and 1= 1,step=x(1)=1 ;50-55ns, elr低电平,elk为低电平,t4, step保持不变; 存储器的设计LPM_RAM 定制首先,定制初始化数据文件,建立Memory Initialization File(.mif)文 件,选择FileTNew命令,并在N ew窗口选择Other file选项,再选择Memory In itialization

21、File选项。出现对话框,选择存储字的个数及字长,按下OK, 出现如下界面,在最左列或最上行击鼠标右键,选择地址和内存操作数所使用的 基值,选择Hexadecimal,存储器里存放数据块传送的指令和数据,CPU通过执 行此程序进行功能验证。完成后,保存文件。2020Addr4-0+14-2+3+ 4+e+7002001oo-io2002003020060016200700000OSOB40-1F103A300E0003B01230000100005oo-io015002002500300035000100000000000000000000000000000020000000000000000

22、00000000000000230000000000000000000000000000030000000000000000000000000000003800000000000000000000000000000400000000000000000000000000000048000000000000000000000000000005000000000000000000000000000000580000000000000000000000000000060000000000000000之后,定制LPM_RAM。设计步骤如下:选择 ToolsTMegaWizard Plug-In Mana

23、ger 命令,打开 MegaWizard Plug-In Manager 对话框,选中 Create a new custom megafunction variation, 单击 Next,出现下面对话框,选择LPM_RAM_DQ,选择所用器件的类型以及所创建的 输出文件的类型及名字。选择NEXT,进入如下界面。选择数据线位数、主存容量大小(地址线位数)。IMegaWSzard Plug-In Manager page 2aWhich megafunction would you like to customize?Select a megafunction from the list be

24、loiAFWhich device family will you be using?z | Altera SOP匚 Builder 申11 arithmetic:AR M ased Ewcalibur 由 lai gatesE-Kal L.-DE -Ml memory compilerp-Q Fdrallel Flash Ludderz | GiqnolT ap II Logic Arialyer El - iai storageP-gj .T2PRAMk-Q ALT LAM ALTQPFIAMALTSHIFT_TAPS 门 ALT SYNCHAM3 LPM_FF;百 LFM_FIFO +L

25、PNLLATCHJ LPM_RAM_DPRh i 匚Ikd D AI n D .Which type of output file do 5ou want to create?r AHDL讶 VHDLC Verilog HDLWhat name do you want for the output fil已?Browse.C:cpu_zjrF! sturn to this page for another create operationNote: To compile a project successfully in the Quartus II software, yuui 21211

26、川libraries specifi已d in the Options dialog box (Tools门已nu) or a user library specified in the User Libraries page of the Settings dialog box 仙rri口nments menu).Your current user library directories are:ramDoyou want to specify ths iritial content of thr memory?广 No, leave t blank厂 InitiBlizB mmrriD呼

27、content data to XX.X dh poer-up in dmulatiDnd !:! 5.0wrsn addres-s-il S.GJ d ocK2 Ves,. use this hie tor the memory rontent dala f/DLi can use a Hexadezirral (Intel-fornnat) File .hex or a Memory Initialization File r.miFIlBrowse. |File name:| c: cpnj1 Gsrrmdata. milThe initial cortert file; should

28、c-onlbrni to vwhich 口tH dimenaimalAllow In-Sstem M emorj Content Editor ! capture a rd i-pddte content indepmntlEntly of the apitem clockThe Instarce ID of this RAM is:OocuniartatiDn.NONEFinish选择NEXT,进入下一步界面,取消q输出端的锁存。2222ram:4IL4data1E-.Oq15 !)wreraddrjssl. 0chck1I籤総総総総総総総総站総滋Wow UptionwbitsMo Opti

29、ons.p dsta and wren npit pert address input part r q ouputpof厂 Crea;e one cloc. er able lienal for each clock sicnal. Allregsteied port; are cont olled bi the enable siqialfc,厂 Crea:e abple er able pertWhst is :he width of a b?te :or byte enebleQ厂 Crea:e an a?lr asynchronous cearfjr tFe registered p

30、elsDocLmentatin.CancelFinishResource EstimateZOO lut256 M4K选择NEXT,进入下一步,选择内存的初始化文件。依据选项自动生成的代码,注意将.mif文件的路径改为相对路径,存储单 元的个数为100个。controlprogCntrWrstepprogCntrRdresetaddrRegWrcompoutoutRegWr instrReg15.O outRegRd shiftSel3.O aluSel3.O compSel3.0 opRegWr instrWr regRd regWr rw vma regSel2.0inst42323ram

31、的功能仿真波形图如图9所示:j PE10.0 DLE20.0 DLE-fl. 0 DE40.0 M50. D liE60. 0 BE70. g IlE90. *D 址S iddressclack3 dfttiAXAIl因1omdx aoox ante工 okok dcsix co.32y, traa tnao 厂厂 J厂 1厂:;FFFF59WX5E50X血 口X55FQ、tWOD:TOO20叽X00JO、ECOZ1SJM:Kffl:E5A0:(55F03( 00U01图9 ram仿真波形图功能仿真说明:0-10ns,wren是读信号,address地址寄存器选择的是地址0000单元,当遇到

32、elk时,将ram里面的内容读出来是200110-20ns,wren是读信号,address地址寄存 器选择的是地址0001单元,当遇到elk时, 将ram里面的内容读出来是003040-50ns,wren是写信号,address地址寄存 器选择的是地址0031单元,当遇到elk时, 将ram里面的内容写进去是5550控制器功能说明:采用状态机实现,其中 control 的输入有 step,reset,compout,instrReg, 这些输入的组合可用于识别指令,并输出相关控制信号。具体信号的相关功能见 部件说明。在译码阶段,具体来说,在一定的节拍下,con tori首先将接收的指 令的前

33、5 位和后6 位分开,用于分离操作数和操作码,然后将操作数的前 3 位和 后3 位分开,用于区分源操作数和目的操作数,然后根据具体的指令输出相关控 制信号。依据指令的格式、数据通路给出指令系统中所有指令的指令流程:Reirtl.*口lEmdnn扣油阴*鶴朋:阳F昭姬妙顷3M1盹胆环PMCKHQMM:附占姗世丹唧护虻呵&25255皿曲262616位模型机的设计与实现创建顶层实体图工程所在的文件夹中包含文件如下所示。依次在功能部件所对应的VHD文件上击右键,选择 Create Symbol Files for Current File,创建所有功能部件的图符。Project NavigatorD

34、evi ce D&ei gn F il&s :: 蟲 ci b. vh.d;p j占肾 j_l. vl显:COHip. T.-hd:胚=hit. vEdii 霁9旷e疋讨山;:-r e g:=Ltr ay. hdi 右& shi;eij. vEdir:aiTi. hd:? Jh& l:llLr o 1- vhd卜厂II 5 of tw :r e F ilesp - 1=1 alu vw :-匡| c omp.:-囹 e h i t. t,j.1 f - = r e g. T.h f 屋| r e garr ay. mfr:-=)shixu. vw;囹 ni endat :. mi f0 tti

35、er Fi-Le aGp-e-nRemove File from ProjectSet as Top-Level EntityCreate Symbol Files for Ojrrent FileCreate AHDL Include Files for Current File功能说明:整个CPU系统以控制器为中心,负责指令的译码及发 出各种相关控制信号。节拍发生器控制着整个系统的时钟 信号,系统的相关部件在统一的节拍控制下发挥自己的作用IR为指令寄存器,负责存储即将执行的下一条指令,addr为地址寄存器,存放被访问存 储单元的地址。PC为程序计数器,存放现行指令的地址,具有计数功能。AL

36、U负责处理相关 数据运算操作。寄存器组负责存放相关操作数和中间临时变量。多路数据选择器在相关控制 信号的作用下将相关数据输入ALU处理。总线分数据总线和地址总线,负责ram和相关寄存 器之间的数据交换。RAM为存储器,存放相关数据和程序。2727连接所有的功能部件形成模型机。3DU .:加:二二-:-ffll.-Him厚直匸.邛J|.FOaM .口.師錨.口Q.UTED q-飞l二flusawi al:WI|i:raiF .px MMo皿:ur】|脚卜oonfrt化:m:啊1闽门呷:小iM迥 U u aw斎口cur5a2828仿真结果说明指令LOADI R1,0020H;执行过程中寄存器的变化

37、情况:40.0 nsSO. 0120. 0 ns160.0 nsRESETcueSTEFT40 aildout 田 All HR 田ALU 田 DA.TA2 田 INSTR 0 FC 田RO 田 RE GN 田 EEGSELEE GET REFWR 珊 VMAirwirwuiruum ijwlLTUITLirumnnnn,:juu:IOU1LiOijloniaULno:0001 !X 0010 L0001OULILIZULU0001:匚IDIHI20:H0000000000( IUU:; 001 ) ; UUUV ;:(2001 !; I 血琨匕匚mi OOULIEestlni:C001cob

38、bohI coBBonZCOBBOI13executeLoadi2 Loadi3 Loadi4 inepestate微操作addraludata2ins trpcramregselreg noutgetReset100000000ZZZZ00000000000000000000000OutRegTProgC nt00000000000000000000200100000000000000000000000000020010000000000020012001200120012001200120010001000020010000200100010001000100012001000120010

39、0000000001000100100010200100010010001001000010001000200弘920010001001000000000002仿真结果说明:指令LOAD R3,R1取数 执行过程中寄存器的变化情况:KimeRESETCL E7EP THRi ddoutAIDkALUDATKEIESTR FCEMEEGffEEGSEL仿真结果说明:in - ”mi”Bffi.O nsi730 hei7T0 a n-=1SLO.fl nsi_rjo_un匚lEU-inujujuiwu一ininLJURHiEU-ininiLUJLin/rn i-1 ii rii-1 i-1 ii

40、ririiin nJ111111L_n n n nj_1 ; U_1 : Ln n.一111 1n n11L_-1厂_iq_irimOEDBZXE00D3KUOimuu Xmor;: aotn ::odds: obib 工 mnnr X com )( coos )(00D9d / TAI,.r tat-X- rnn-艾 rm?厂nn-r/ eTT. / m.飞、T-n .了砧飞-rm-JC耐0iTOEOBn、匸广x00c2D7?ruuj.zffiOB 厂二K、0005DOODC010 $*3=粗COZX m00Z0Dl jMH JfL|ADD R7 , R3相加执行过程中寄存器的变化情况TTO

41、nsRESETCLESTEFT4810.0 nsB50. 0 ns890.0 ns930.(D tie970.0EEHH 田 lJaddoutADBRALULIATA2ItCTRrcKAI?EECN0 RECSELUMDIDogULI05 )f一X4CiLF XUUVU00090005LOOftUJUU)LuujyDCUUUbA|4L1J?初005 X000030C50030卫ii05f、UliQS XODGXr一X; 40LF XjZS;血 迩匚心i苫 炉心8 乂心丽XI匚匸心日)f|0009iO050B4P1F 0001L1DllOil3030仿真结果说明:指令STORE R2,R7 ;存

42、数执行过程中寄存器的变化情况:lfsmeL.呼 uul.QS u=1920 0 -CLESTE14juit.tuiuui田addDTLt+1 虹IDRn1 1 1 1 _n 厂n n rr_1n_n n.i_|i _n 厂3300X1I1MD9CO30aco5i I:COJX:103ft Ti ww ): CQ30 Xi on呼 x ; i ooob& El ADJ,=zLA111A111DrIE3DA 田 INSTR103 A,二: 0005 XOEioaTOO X 11005QOTDEEFYR仿真结果说明:BRANCHEQI 0000H 如果R1等于R6,则转向地址0000H执行过程中寄存

43、器的变化情况:MSET:LKSTEFT4田 addout训HR田ALU DATA2 田 INSTR 田?C田 3LAM田 jLEGN 田 EGSELm -T厂innnr1 11 15 1i 1 ninnnj1 1I 1! 1S 1 ninn_nj1 11 18 18ininruu1 11 1I 1 B ininnr1 11 1S I1 1i irmnnju1 11 1 1i Ii ninnnj1 11 1I 1I 1 nin nr1 11 18 11 1i irnnnnnni n1 11 1II1 11 11 11 11i1 1 1mnXnnnDCnnnB二 30QE X JUUU :口011

44、 X OOlpC030B00030 X 0015)C30QE;( 001 厂X 0Q1E 飞 加刖 oomo *、ooi弓 、oooa 其 oco! 103a000A103A OOPS 住 0030 X 0015 f3131DC000B300:DC300ELILIOO000g 001厂15乜厂RESETCLKSTEPT40 addout田 ADDR田ALU+ DATA2+ INSTR田FC田RAM田 REGN 田 EEGSELRFGRT1mnnnmnwLRnmnjwnnmnmMnnMnmnnRrn : : j rrn : i rHn ini in; in iE 丨 lH ilH 丨 lH 厂E

45、GZ0030DO000BOWCEJU厂X0016 .:0030 厂丽5厂X CICICIA X 叩序 X 孔迎 X THZ 厂! A 11!;0030)C103aO00B0016! 103A000AZZHo300EOOD4Z3GLILILICI000II-II- T?经过本次试验,我明白到了做计算机组成原理的实验首先一定要注意线路的连接,有些 要对应的要一一对应,我一开始因为没注意弄得数据不正确,还有要注意操作方法等等。总之,通过这次对指令系统的设计,让我对整个计算机基本原理和系统结构都有了很深 的体会和认识,也强迫自己在以前不足的地方去学习了一些新的知识,最重要的是,真正让 自己认识到了自己

46、现在所学过的知识还太少太少,根本不足以完成一个哪怕是最简单的计算 机项目,自己以前只满足于将课本知识学会的想法也有很大的问题,需要好好反省,在接下 来的时间里,自己一定要好好把握,既要加强基础知识的学习,也要锻炼自己的实验能力, 努力让自己的专业素质有很大的提高。五、参考文献:白中英.计算机组成原理(第五版).北京:科学出版社,20053232六、代码addLIBRARY IEEE;USE add isport(a:in bit16;clk:in std_logic;q:out bit16); end add;architecture rt1 of add isbeginprocessbeginwait until clkevent and clk=1; q=a+1010;end process;end rt1;addoutLIBRARY IEEE;USE addout isport(a:in t_reg;b:in bit16;reset:in std_logic; q:out bit16);end addout;architecture rt1 of addout issignal t

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!