eda课程设计实习心得体会报告

上传人:1528****253 文档编号:198149374 上传时间:2023-04-07 格式:DOCX 页数:7 大小:12.26KB
收藏 版权申诉 举报 下载
eda课程设计实习心得体会报告_第1页
第1页 / 共7页
eda课程设计实习心得体会报告_第2页
第2页 / 共7页
eda课程设计实习心得体会报告_第3页
第3页 / 共7页
资源描述:

《eda课程设计实习心得体会报告》由会员分享,可在线阅读,更多相关《eda课程设计实习心得体会报告(7页珍藏版)》请在装配图网上搜索。

1、eda课程设计实习心得体会报告随着EDA技术的出现 ,极大地提高了电路设计的效率和可操作性 ,减轻了设计者的劳动强度 ,提供课程设计指导实习 ,分享心得体会报告。下面是查字典范文网小编为大家收集整理的eda课程设计实习心得体会报告 ,欢送大家阅读。 eda课程设计实习心得体会报告篇1 EDA课程设计心得体会 ,这次EDA课程设计历时两个星期 ,通过这次设计 ,通过这次课程设计使我懂得了理论与实际相结合是很重要的 ,在设计的过程中遇到问题 ,同时在设计的过程中发现了自己的缺乏之处 ,这次设计的数字秒表还是比拟成功的 ,在设计中遇到了很多问题 ,PLC实训心得 ,在学完PLC理论课程后我们做了课程

2、设计 ,此次设计以分组的方式进行 ,没有过实际开发设计的经验 ,我们根本学会了PLC设计的步聚和根本方法。 这次EDA课程设计历时两个星期 ,在整整两个星期的日子里 ,可以说是苦多于甜 ,但是可以学的到很多很多的东西 ,同时不仅可以稳固以前所学过的知识 ,而且学到了很多在书本上所没有学到过的知识。通过这次设计 ,进一步加深了对EDA的了解 ,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时 ,心里特别的开心。但是在编写顶层文件的程序时 ,遇到了不少问题 ,特别是各元件之间的连接 ,以及信号的定义 ,总是有错误 ,在细心的检查下 ,终于找出了错误和警告 ,排除困难后 ,程序编译就通

3、过了 ,心里终于舒了一口气。在波形仿真时 ,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后 ,数字秒表开始计数 ,但是始终看不到秒和小时的循环计数。后来 ,在数十次的调试之后 ,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试 ,终于找到了比拟适宜的输入数值:时钟周期设置在15秒左右比拟适宜。另外 ,Endtime的值需要设置的长一点:500us左右 ,这样就可以观察到完整的仿真结果。 其次 ,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽 ,因为每个线宽是不一样的 ,只要让各个线宽互相匹配 ,才能得出正确的结果 ,否那么 ,出现任何

4、一点小的误差就会导致整个文件系统的编译出现错误提示 ,在器件的选择上也有一定的技巧 ,只有选择了适宜当前电路所适合的器件 ,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的 ,只有理论知识是远远不够的 ,只有把所学的理论知识与实践相结合起来 ,从理论中得出结论 ,才能真正为社会效劳 ,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题 ,可以说得是困难重重 ,这毕竟第一次做的 ,难免会遇到过各种各样的问题 ,同时在设计的过程中发现了自己的缺乏之处 ,对以前所学过的知识理解得不够深刻 ,掌握得不够牢固。 在设计过程中 ,总是遇到这样或那样的问题。有时发

5、现一个问题的时候 ,需要做大量的工作 ,花大量的时间才能解决。自然而然 ,我的耐心便在其中建立起来了。为以后的工作积累了经验 ,增强了信心。 eda课程设计实习心得体会报告篇2 本学期末我们进行了EDA实训 ,我们组做的是四路智能抢答器 ,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的根底上 ,运用Quartus软件,对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可容纳四组参赛者 ,每组设置一个抢答按钮供抢答者使用 ,电路具有第一抢答信号的鉴别和锁存功能 ,系统具有计分、倒计时和倒计时锁存等电路 ,输入信号有:各组的抢答按钮A、B、C、D ,系统清零信号CLR

6、,系统时钟信号CLK ,计分复位端RST ,加分按钮端ADD ,计时预置控制端LDN ,计时使能端EN ,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示 ,四个组抢答时的计时数码显示控制信号假设干 ,抢答成功组别显示的控制信号假设干 ,各组计分动态显示的控制信号假设干。整个系统至少有三个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块。 实训的第一天我们组三个人就开始对抢答器的各局部源程序进行调试 ,由于刚开始对于quartus2软件用的不是很熟练 ,所以在第一天几乎上没有啥大的进展 ,一

7、直都在改程序中的错误。在不停的重复的编译、改错。拿着EDA修改稿、资料书检查出错的地方 ,一边又一遍的校对分析其中的错误。 在实训中我们遇到了很多的问题。为了解决这些问题我和他们两个都在的想方法通过各种渠道寻找解决问题的方法。上网查资料、问同学、图书馆查资料、问老师、自己想方法 ,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的 ,他人的帮助当然是很好的 ,但只是暂时的要想真正的学到东西还是要靠自己去想方法。不能一有问题就希望要他人帮助 ,一定自己先好好想想实在解决不了的再去问老师找同学。 由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间 ,在接下来的

8、几天里遇到了不少的问题。刚开始的时候是源程序中的错误一直在那改 ,好不容易几个模块中的错误都一个个排除了 ,但当把他们放到一起时问题就又出现了。于是又开始了检查修改 ,可是弄了好长时间也没有弄明白 ,最后找了一个在实验室的同学说是顶层文件有问题。于是晚上又找了些关于顶层文件资料还有课本上的例子。最后对步骤已经有了很熟练的掌握 ,很快就完成了程序编译、仿真、下载到最后的调试。 纸上谈来终觉浅 ,绝知此事要躬行。在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了 ,自己知道的是多么的有限 ,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利 ,通过这次实训暴露了我们自身的诸多的缺乏之处

9、 ,我们会引以为鉴 ,在以后的生活中更应该努力的学习。 虽然实训仅仅进行了两个星期就匆匆的结束了 ,但在这两个星期中收获还是很多的。实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得心应手 ,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。实训只要你认真做了都是对自己能力一次很大的提高。 本次设计过程中得到我们老师的悉心指导。瓮老师屡次询问设计进程 ,并为我们指点迷津 ,帮助我们理顺设计思路 ,精心点拨 ,时刻在帮助着我们去提高自己。瓮老师一丝不苟的作风 ,严谨求实的态度 ,踏踏实实的精神 ,不仅是我学习的

10、楷模 ,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。 eda课程设计实习心得体会报告篇3 短暂的一周实训已经过去了 ,对于我来说这一周的实训赋予了我太多实用的东西了 ,不仅让我更深层次的对课本的理论知识深入了理解 ,而且还让我对分析事物的逻辑思维能力得到了锻炼 ,提高了实际动手能力 ,下面谈一下就这一周实训中我自己的一些心得体会。 一周的实训已经过去了 ,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的珍贵东西 ,熟悉了对Quartus 软件的一般工程的操作和学到了处理简单问题的根本方法 ,更重要的是掌握了VHDL语言的根本设计思路和方法 ,我想这些会对我今后的学习

11、起到很大的助推作用。此外 ,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习 ,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。 最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说 ,这次实训我收获很大。 同时 ,感谢大专两年来所有的老师 ,是你们为我解惑受业 ,不仅教授我专业知识 ,更教会我做人的道理。 这次EDA实训让我感觉收获颇多 ,在这一周的实训中我们不仅稳固了以前学过的知识 ,而且还学到了怎样运用EDA设计三种波形的整个过程和思路 ,更加强了我们动手能力 ,同时也提高了我们的思考能力的锻炼 ,我们

12、在写程序的同时还要学会要改程序 ,根据错误的地方去修改程序。 本文基于Verilog HDL的乒乓球游戏机设计 ,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的根底上 ,利用CPLD开发工具对电路进行了设计和仿真 ,从别离器件到系统的分布 ,每一步都经过严格的波形仿真 ,以确保功能正常。 从整体上看来 ,实训课题的内容实现的功能都能实现 ,但也存在着缺乏和需要进一步改良的地方 ,为我今后的学习和工作奠下了坚实的根底。通过此次的实训课题 ,掌握了制作乒乓球游戏机技术的原理及设计要领 ,学习并掌握了可编程逻辑电路的设计 ,掌握了软件、CPLD元件的应用 ,受益匪浅

13、, 非常感谢瓮老师这一学期来的指导与教诲 ,感谢老师在学习上给予的指导 ,老师平常的工作也很忙 ,但是在我们学习的过程中 ,重来没有耽误过 ,我们遇到问题问他 ,他重来都是很有耐心 ,不管问的学生有多少 ,他都细心的为每个学生讲解 ,学生们遇到的不能解决的 ,他都配合同学竭力解决。最后祝愿瓮老师身体健康 ,全家幸福。 通过这次课程设计 ,我进一步熟悉了Verilog HDL语言的结构 ,语言规那么和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中 ,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候 ,要有耐心 ,要学

14、会一步步的去找问题的根源 ,才能解决问题 ,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面 ,知道要培养哪些技能对我们的专业很重要。通过这次课程设计 ,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想 ,显示出我们在程序设计方面还有缺乏之处。 在此次实训的过程中 ,我了解到了要加强培养动手能力 ,要明白理论与实践结合的重要性 ,只有理论知识也是不够的 ,只有把理论知识和实践相结合 ,才能真正提高我们的实际动手能力与独立思考的能力 。感谢学院给我们提供这次实训的时机 ,感谢瓮老师对我们的指导 ,他是为了教会我们如何运用所学的知识去解决实际的问题 ,此外 ,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了 ,但真正到用的时候才发现是两回事 ,所以我认为只有到真正会用的时候才是真的学会了。 本次设计过程中得到我们老师的悉心指导。瓮老师屡次询问设计进程 ,并为我们指点迷津 ,帮助我们理顺设计思路 ,精心点拨。瓮老师一丝不苟的作风 ,严谨求实的态度 ,踏踏实实的精神 ,不仅授我以文 ,并将积极影响我今后的学习和工作。7 / 7

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!