LED小灯实验报告

上传人:沈*** 文档编号:195390584 上传时间:2023-03-16 格式:PDF 页数:8 大小:362.99KB
收藏 版权申诉 举报 下载
LED小灯实验报告_第1页
第1页 / 共8页
LED小灯实验报告_第2页
第2页 / 共8页
LED小灯实验报告_第3页
第3页 / 共8页
资源描述:

《LED小灯实验报告》由会员分享,可在线阅读,更多相关《LED小灯实验报告(8页珍藏版)》请在装配图网上搜索。

1、led 流水灯的设计报告 课程名称:led 流水灯设计 学 院:大数据与信息工程学院 专 业:姓 名:学 号:年 级:任课教师:一、实验的背景和意义 单片机全称叫单片微型计算机,是一种集成在电路芯片,是采用大规模集成电路技术把cpu 随机存储器 ram、只读存储器 rom、多种输入输出口、定时器/计时器等功能集成到一块硅片上构成的一个小而完善的计算机系统。目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的应用。大致可以分为以下几个范畴:1、在智能仪器仪表上的应用,例如精确的测量设备;2、在工业控制中的应用,例如用单片机可以构成形式多样的控制系统,与计算机互联网构成二级控制系统

2、等;3、在家用电器中的应用,可以从手机、电话机、小型程控交换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见的移动电话、集群移动通信、无线电话对讲机等;4、在医用设备中的应用,例如医用呼叫机、各种分析仪、超声诊断设备及病床呼叫系统等等;5 在各种大型电器中的模块化作用,如音乐集成单片机,看是简单的功能,微缩在电子芯片中,就需要复杂的类似于计算机的原理。本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发计算器设计做了详细的分析和研究。本系统就是充分利用了 8051 芯片的 i/o 引脚。系统已采用 mcs51 系列单片机为中心器件来设计 led 流水灯系统,实现

3、 led 左循环显示,并实现循环的速度可调。二、设计目的 1.学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计步骤,培养综合设计与调试能力。2.掌握汇编语言程序和 c 语言程序设计方法。3.培养实践技能,提高分析和解决实际问题的能力。三、设计任务及要求 1.用个发光二极管作为显示电路 2.实现 led 动态显示 3.能连续循环显示 四、设计思路 led 流水灯实际上是一个带有八个放光二极管的单片机最小应用系统,即为由晶振 led灯、电阻、电容器、电源等电路和必要的软件组成的单个单片机。如果要让接在p1.0口的led1亮起来,那么只需要把p1.0口的电平变为低电平就可以了;相

4、反,如果要让接在p1.0口的led1熄灭,那么只需要把p1.0口的电平变为高电平就可以了;同理,接在 p1.1p1.7 口的其他 7 个 led 的点亮的熄灭的方法同 led1。因此,只要使接在单片机上的八个 led 灯在接通电源后除了最右端一个其余都亮,一秒后倒数第二个熄灭其余都亮,如此循环往复,就可以达到流水的效果。在此我们还需注意一点,由于人眼的视觉暂留效应以及单片机执行每条指令时的时间很短,我们在控制二极管亮灭的时候应该延迟一段时间,否则我们就看不到“流水”的效果了。五、模块介绍 采用 at89c51 作为主控芯片,将 p1 分别接 8 个 led 实现显示,采用汇编语言编程和 c语言

5、实现。方案框图如下:图 2.1 六、元件清单 硬件设计主要是介绍构成此 led 流水灯单灯左移电路的硬件,对原理图、电阻电容模块的主要原件,及电路设计加以解释说明,模块化的加以说明,才不会相互混淆便于准确的说明。at89c51 单片机简介 1、主要特点有:at89c51 是 4k 字节闪速存储器,128 字节内部 ram,32 个 i/o 口线,2 个 16 位定时器/计数器,一个 5 向量级中断结构,一个全双工串行通信口,片内振荡器时钟电路。同时 at89c51降至 0hz 的静态逻辑操作,并支持两种可选的节电工作模式。空闲方式体制 cpu 的工作,但允许 ram,定时/计数器,串行通信口及

6、中断系统继续工作。掉电方式保存 ram 中的内容,但振荡器体制工作并禁止其他所有不见工作直到下一个硬件复位。at89c51 芯片外形结构和引脚分布图 2、实验效果 图中 led 灯的两端都接的是高电平,要能使所接的 led 灯正向导通发光则 通过写入单片机的程序控制单片机 p2 端口的高低电平来实现灯的亮与熄 3.2 led 电路原理图 引脚功能说明:篇二:led 点灯实验报告 led 点灯实验报告 华中科技大学 电信提高班 1101 一实验目的:设计多种方案点亮 de2 开发板上的 led 灯,熟悉使用 de2 开发板。二方案设计:方案一:设计一个计数器,通过计数器输出十进制数值的奇偶性来控

7、制 led 灯的亮与暗 step1:设计一个计数规律为 4-5-6-7-8-9-4-5-6-7-8-9-4.的计数器 1.设计分频电路 该电路的作用是把一个频率为50mhz的电源分频为1hz 的电源。该设计电路我在 quartus上,采用 verilog hdl 语言实现。源代码如下截图:说明:分频器的源代码截图 然后将这段代码生成一个元件,如下图,其中有一个 50mhz 的输入端口,有一个 1hz 的输出端口。说明:生成的分频器元件 说明:in:输入脉冲频率(50mhz)out:输出脉冲频率(1hz)2.设计计数电路 计数电路通过芯片 74191 实现,由于在计数器到 9 时重新由 4 开始

8、计数,因此在 qdqcqbqa输出为 1010 的时候,反馈给 74191 的载入端子,使其重新载入 dcba=0100,开始计数。在quartus 上设计的电路如下图,其中 lab05 元件为七段显示译码器件,用于接入发光二极管,从而比较直观地验证结果。(4-9 计数,译码电路)(电路引脚分配截图)该电路点亮 led 灯的方法是:十进制计数输出为奇数是,led 灯亮,输出为偶数时,led灯灭,电路在 de2 开发板实现的结果录像在附件中。方案二:模仿交通灯的设计,控制红色和绿色的 led 灯的亮与灭 该方案是通过一盏红色led灯和绿色led灯来简易地模仿交通灯的设计,其基本原理是:在一定时间

9、内显示红灯,然后开始倒计时,过了一定时间后,就显示一段时间的绿灯,再倒计时,然后重新显示红灯。在这个实验中我简化了交通灯的模型,红灯和绿灯的倒计时间一样,都设定为 8 秒,即计时器显示为 8-7-6-5-4-3-2-1-8-7-6-5-4-3-2-1.于是,应该先做一个模八的倒计时计数器,这个功能我通过芯片 74191 完成。而红灯绿灯的切换显示我通过一个 jk 触发器完成,其余的主要是为实现模为 8 服务,在 quartus 上连接的电路如下截图所示:(红绿灯模拟电路连线)实验测试结果应该为每次倒计时 8 到 1 的时候,都只会有一盏灯亮,然后到下一个 8 到1 倒计时的时候,就会换成另一种

10、颜色的 led 灯亮,而原来亮的 led 灯会灭掉。在 de2 开发板上的实现详见附件:方案三:模仿射击小游戏中的子弹发射过程,控制 18 盏红色 led 灯和 2 盏绿色 led 灯的亮与灭 如今假设从右往左开枪射击,特别地用两盏绿色 led 灯代表枪头,每次绿色 led 灯亮就代表开枪射击,用两盏发光的红色 led 灯代表射出的枪弹,开枪后枪弹从右往左运动,设计中用两盏发光的红色 led 灯从右往左运动代表。那么通过电路设计,可以模拟出射击小游戏中的枪弹射击过程。设计电路中,使用了一个使 50mhz 分频成 12hz 的分频器,是通过 verilog 代码编写的分频元件,其 verilog

11、 代码如下截图所示:(50mhz-12hz 分频器代码截图)然后通过芯片 7490 构成一个 0-9 的计数器,然后把计数器的输出,作为数据选择器的输入,电路中我使用的芯片为 7442 数据选择器芯片,然后把数据选择器的每个输出端口连接到相邻的两个 led 灯上去,就完成了简化后的“子弹发射”模型。由于数据选择器的输出是高电平,因此在 7442 芯片的输出都加了一个非门把电平置反。实验设计电路如图所示:(“子弹发射”模型设计电路)在 de2 开发板上的测试成果详见附件中的视频,部分截图如下所示:篇三:电工 led 灯手工制作实验报告 浙江农林大学 天目学院 实习(课程设计)报告 课 程电工及电

12、子技术实习 系(部)工程技术系 指导老师 专业班级 汽车服务 101 班 学生姓名 学 号 一、原理图及原理说明 led(light emitting diode),发光二极管,是一种能够将电能转化为可见光的固态的半导体器件,它可以直接把电转化为光。led 的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是 p 型半导体,在它里面空穴占主导地位,另一端是 n 型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个 p-n 结。当电流通过导线作用于这个晶片的时候,电子就会被推向

13、 p 区,在 p 区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是 led 灯发光的原理。而光的波长也就是光的颜色,是由形成 p-n 结的材料决定的。二、元器件清单 330 欧姆电阻 一个 105/400v 电容 一个 开关 一个 390 欧姆电阻 一个 发光管 一个 整流二极管 五个 发光二极管 18 个 开关按钮 一个 压簧 一个 充电按钮 一个 充电插头 一个 插头支架 一个 开关线路板 一个 充电线路板 一个 自功丝 4 个 导线 8 根 led 线路板 一个 电瓶 一个 镜片 一个 反光杯 一个 灯罩 一个 装饰片 一个 外壳 一套 金属软管 一个 三、调试 为了方便调试,l

14、ed 和电池夹可暂不安装,待调试结束后再另行安装。小夜灯控制电路和电源电路相互独立,这两部分电路的调试也应分开进行,以免彼此牵扯而影响电路测试和故障判断。控制电路调试时先将 led 的连接线按电路原理图焊接在控制电路板上,再在控制电路板上两电源输入端以及 rxd 和 txd 端各焊接 1 根导线,分别与电源和编程电路相连。电路连接妥当后仔细检查几遍,确认无误后即可上电将程序下载至单片机内,之后 重新上电对按键功能和 led 状态进行全面测试。电源电路调试时,在电源电路板上两电源输入端各焊接 1 根导线与电源相连,这里同样使用稳压电源来调试。检查电路时要 特别注意以上几点。与调试控制电路一样,功

15、耗问题不能轻易忽略,调试时要有 足够的耐心,力争将功耗降至最低,这一点对调试采用电池供电的电路至关重要。四、心得体会 这是大学学习阶段一次非常难得的理论与实际相结合的机会,通过这次的设计,我摆脱了单纯的理论知识学习状态,.通过试验进一步理解和消化了书本知识,分析每个语句的含义,运用所学知识进行简单的程序设计。在制作过程中,不断调试和修改序,提高了对单片机的应用能力,分析问题和解决问题的能力。实际设计的结合锻炼了我的综合运用所学的专业基础知识,解决实际问题的能力,同时也提高我查阅文献资料、设计手册以及设计规范等其他专业能力水平,提高是有限的但提 高也是全面的,正是这一次设计让我积累了无数实际经验

16、,使我的头脑更好的被知识武装了起来,也必然会让我在未来的工作学习中表现出更高的应变能力,更强的沟通力和理解力。顺利如期的完成本次实习给了我很大的信心,让我了解专业知识的同时也对本专业的发展前景充满信心,通过对单片机的系统学习。对一些 led 的设计做了一些必要的改进。同时对自己的改进也做了真实的仿 真。达到了预期的目的。但是在改进的过程里也发现了自己的很多的不足。这会在以后的学习生活里不断提高,逐步完善自己。篇四:实验五 led 小灯实验 实验五 led 流水灯实验 实验报告内容及格式 1.实验目的 2.实验设备 3.实验原理及环境 4.实验内容 只做文字叙述,程序部分放在程序清单中。5.程序

17、清单 本实验使用的完整程序。如果使用了本实验或前面实验中完全相同的子程序,可不列写,只做注明即可。6.实验步骤 7.实验总结 主要包括对实验结果、调试过程、错误及产生的原因的分析,以及本次实验的重要收获等。此项为实验成绩评定的重要依据。实验目的:掌握 proteus 软件的使用方法 利用 proteus 软件画出单片机控制跑马灯的电路原理图,然后编程实现发光二极管依次点亮,间隔 1s.学会将程序下载到单片机的使用方法。实验设备:sc5204 开发板、安装有 keil、proteus 仿真软件的计算机一台 实验原理及环境:1.实验板上有8个led灯,通过74hc573芯片与单片机的p0口相连接,

18、当输出口为0 时,发光二级管点亮;输出位为 1 时,发光二极管熄灭。2.8d 锁存器 74hc573 芯片特点:(1)三态总线驱动输出(2)置数全并行存取(3)缓冲控制输入 (4)使能输入有改善抗扰度的滞后作用 74hc573 引脚图:3.sc5204 实验板原理图 实验内容:1.用 keil 软件编写一个 8 位 led 流水灯程序。该程序的要求如下:(1)自己设计点亮顺序。(2)每个灯亮的时间为 1 秒。2.生成.hex 工程文件 3.用 proteus 仿真软件仿真出上述原理图,然后装载.hex 文件进行调试 4.用 stc-isp软件将程序下载在 sc5204 实验板上,验证实验结果。

19、预习要求:理解实验原理,熟悉实验环境。编写实现本实验要求的程序,并生成*.asm 文件,将这个文件放在 u 盘上备用。实验步骤:1.建立一个工程,将在预习中做好的实验内容键入,并生成*.asm 文件,加入工程中。2.用 proteus 仿真软件画出原理图。3.将*.asm 文件编译后与仿真图连接,运行,调试。4.如果运行结果不正常,可以用设断点、单步运行的方法查找错误所在,修改后重新编 译。5.与单片机硬件连接,运行。重要提示:1.实验板必须断电后才能进行接线操作。2.指令中的“,:;”是西文字符,切不可使用中文符号;数字“0”与字符“o”不可混淆。3.软件延时可以用循环程序实现,单片机的时钟

20、为 12mhz,每条指令的执行时间可以从教材的附表中查出。4.程序的起始地址必须为 0000h(以后各实验均同)。5.对程序修改后必须退出硬件连接,进行编译后再重新进行硬件连接才能生效。参考仿真图:图 2.1 实验仿真图 这个实验实现一个小灯的移动,最直接的方法就是对位进行操作,比如 clr p0.5 就能点亮该引脚控制的那个小灯。不直接对位操作也可以实现对位的操作的,这种方式更好一些因为八个小灯每次都在你控制之中。可以尝试做出各种移动方式,比如多个一起移动或两头同时相向移动遇到之后又返回去等等很多花样。多做多想多实践,这是唯一学好单片机或者某种技术的比较可靠的途径。参考程序:org 0000

21、h ljmp main org 0100h main:setb p1.1 mov p0,#0 xff clr p1.1 ;这一段三个语句是关闭数码管 setb p1.3 mov p0,#0 x00 clr p1.3 ;关闭点阵 setb p1.2 ;使能控制 led 小灯的锁存器 clr c mov r0,#01h ;用于实现小灯移动的一个变量 chongzhuang:mov r3,#14;r3 用于判断小灯是否已移到最边缘的那一个 xunhuan:mov a,r0 cpl a ;小灯是低电平点亮,所以取反后就只点亮一个 mov p0,a acall yanshi mov a,r3 subb

22、a,#8 ;判断是否移到了最边缘的那一个小灯 jnc left ;否,则继续该方向移动。是,则转移至 left 进行反方向移动 mov a,r0 rr a mov r0,a ;对 r0 进行不带进位循环右移,即实现小灯的移动 ajmp again left:mov a,r0 rl a mov r0,a again:dec r3 mov a,r3 clr c jz chongzhuang ;判断 r3 是否为零,是,则重新装数 ajmp xunhuan ;反复循环 yanshi:;非精确延时子程序 mov r4,#5 ys0:mov r2,#0ffh ys1:mov r1,#0ffh ys2:d

23、jnz r1,ys2 djnz r2,ys1 djnz r4,ys0 ret end 篇五:led 灯实验报告 mcs51 单片机接口技术实验 适用:电气类专业本科学生 实验报告 实验一 熟悉 proteus 仿真模拟器,led 花样表演 一、实验目的 掌握以下方法:1在 proteus 的环境下,设计硬件原理图;2在 keilc 集成环境下设计 c51 语言程序;2在 proteus 的环境下,将硬件原理图与软件联接仿真运行。二、实验环境 1个人微机,windows 操作系统 2proteus 仿真模拟器 3keilc 编程 三、实验题目 基本题:使用 8051 的并口带动 8 个 led

24、发光二极管显示一种花样表演。提高题:使用一个键切换实现 3 种以上花样表演。四、实验类型:学习、模仿与简单设计型。五、实验步骤:0、进入 isis,先选择需要的元件,然后设计电原理图,保存文件;1、在 keilc 软件集成环境下编写源程序,编译工程文件;2、将所设计的硬件原理图与目标代码程序相联接;4、按 play 键,仿真运行程序。附,可能用到的元件名称:cpu:at89c51 或任一种 mcs-51 家族 cpu;晶振:crystal;电容器:capacitors,选 22pf 电解电容:cap-elec 或 genelect10u16v 复位电阻:minres10k 限流电阻:minre

25、s330r 按键:button led:led-blue/red/yellow 或 diode-led (一)接线图如下:(二).基础花样 (四)程序流程图 (五)c 程序#include<reg52.h>#define uint unsigned int#define uchar unsigned char const tab1=0 xfe,0 xfd,0 xfb,0 xf7,0 xef,0 xdf,0 xbf,0 x7f,/*正向流水灯*/0 xbf,0 xdf,0 xef,0 xf7,0 xfb,0 xfd,0 xfe,0 xff,;/*反向流水灯*/const tab2=0

26、 xff,0 x00,0 xff,0 x00,0 xff,0 x00,;void delay()uint i,j;for(i=0;i<256;i+)for(j=0;j<256;j+);void int1()interrupt 0 uchar i;for(i=0;i<6;i+)p0=tab2i;delay();void main(void)ex0=1;it0=1;ea=1;while(1)uchar x;for(x=0;x<15;x+)p0=tab1x;delay();(六)总结 本次实验让我能够熟练的掌握和使用 keil 和 proteus 等软件进行编程和仿真,也对流水灯的原理和硬件结构有了更加深刻的认识。只会基础花样不懂变通。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!