数字电子技术基础:第一章 数制和码制

上传人:努力****83 文档编号:193125581 上传时间:2023-03-08 格式:PPT 页数:51 大小:2.07MB
收藏 版权申诉 举报 下载
数字电子技术基础:第一章 数制和码制_第1页
第1页 / 共51页
数字电子技术基础:第一章 数制和码制_第2页
第2页 / 共51页
数字电子技术基础:第一章 数制和码制_第3页
第3页 / 共51页
资源描述:

《数字电子技术基础:第一章 数制和码制》由会员分享,可在线阅读,更多相关《数字电子技术基础:第一章 数制和码制(51页珍藏版)》请在装配图网上搜索。

1、(第五版第五版)本学期讲述数字电路与逻辑设计,所用的教材为本学期讲述数字电路与逻辑设计,所用的教材为阎石编写的阎石编写的数字电子技术基础数字电子技术基础(第五版),所讲(第五版),所讲授的内容为逻辑函数及其化简、集成逻辑门电路、组授的内容为逻辑函数及其化简、集成逻辑门电路、组合逻辑电路和时序逻辑电路的分析、半导体存储器、合逻辑电路和时序逻辑电路的分析、半导体存储器、脉冲单元电路及数模转换技术。脉冲单元电路及数模转换技术。这门课授课为这门课授课为64学时,实验课学时,实验课20学时学时(单独开课单独开课),一共一共84学时学时,为必修课。期末总评成绩为:为必修课。期末总评成绩为:加油啦!加油啦!

2、参考书:参考书:1.数字电子技术基础数字电子技术基础,第五版第五版,阎石主阎石主编,高等教育出版社编,高等教育出版社2.数字电子技术基础数字电子技术基础 习题答案(阎石习题答案(阎石)第一章第一章 数码和码制数码和码制 本章首先介绍有关数制和码制的一些基本概念本章首先介绍有关数制和码制的一些基本概念和术语,然后给出数字电路中常用的数制和编码。此和术语,然后给出数字电路中常用的数制和编码。此外,还将具体讲述不同数制之间的转化方法和二进制外,还将具体讲述不同数制之间的转化方法和二进制数算术运算的原理和方法。数算术运算的原理和方法。本章内容本章内容1.1 概述概述1.2 几种常用的数制几种常用的数制

3、1.3 不同数制间的转换不同数制间的转换1.4 二进制算数运算二进制算数运算1.5 几种常用的编码几种常用的编码数字技术是一门应用学科,它的发展可分为数字技术是一门应用学科,它的发展可分为5个阶段个阶段 产生:产生:20世纪世纪30年代在通讯技术(电报、电话)首年代在通讯技术(电报、电话)首先引入二进制的信息存储技术。而在先引入二进制的信息存储技术。而在1847年由英国科学年由英国科学家乔治家乔治.布尔布尔(George Boole)创立布尔代数,并在电子电创立布尔代数,并在电子电路中的得到应用,形成开关代数,并有一套完整的数字路中的得到应用,形成开关代数,并有一套完整的数字逻辑电路的分析和设

4、计方法逻辑电路的分析和设计方法1.数字技术的发展过程数字技术的发展过程1.1 概述概述初级阶段:初级阶段:2020世纪世纪4040年代电子计算机中的应用,此年代电子计算机中的应用,此时以电子管(真空管)作为时以电子管(真空管)作为基本器件。另外在电话交基本器件。另外在电话交换和数字通讯方面换和数字通讯方面也有应用也有应用电子管(真空管)电子管(真空管)第二阶段:第二阶段:20世纪世纪60年代晶体管的出现,使得数字年代晶体管的出现,使得数字技术有一个飞跃发展,除了计算机、通讯领域应用外,技术有一个飞跃发展,除了计算机、通讯领域应用外,在其它如测量领域得到应用在其它如测量领域得到应用晶体管图片晶体

5、管图片第四阶段:第四阶段:20世纪世纪70年代中期到年代中期到80年代中期,微电子年代中期,微电子技术的发展,使得数字技术得到迅猛的发展,产生了大技术的发展,使得数字技术得到迅猛的发展,产生了大规模和超大规模的集成数字芯片,应用在各行各业和我规模和超大规模的集成数字芯片,应用在各行各业和我们的日常生活们的日常生活第三阶段:第三阶段:20世纪世纪70年代中期集成电路的出现,使年代中期集成电路的出现,使得数字技术有了更广泛的应用,在各行各业医疗、雷得数字技术有了更广泛的应用,在各行各业医疗、雷达、卫星等领域都得到应用达、卫星等领域都得到应用20世纪世纪80年代中期以后,产生一些专用和通用的集年代中

6、期以后,产生一些专用和通用的集成芯片,以及一些可编程的数字芯片,并且制作技术成芯片,以及一些可编程的数字芯片,并且制作技术日益成熟,使得数字电路的设计模块化和可编程的特日益成熟,使得数字电路的设计模块化和可编程的特点,提高了设备的性能、适用性,并降低成本,这是点,提高了设备的性能、适用性,并降低成本,这是数字电路今后发展的趋势。数字电路今后发展的趋势。信号可分为模拟信号和数字信号。信号可分为模拟信号和数字信号。模拟信号是表示模拟量的信号,模拟量是在时间模拟信号是表示模拟量的信号,模拟量是在时间和数值上都是连续的的物理量。模拟信号包括正弦波和数值上都是连续的的物理量。模拟信号包括正弦波信号和脉冲

7、信号,脉冲信号如方波、矩形波、尖脉冲信号和脉冲信号,脉冲信号如方波、矩形波、尖脉冲锯齿波、梯形波等。锯齿波、梯形波等。图图1-1所示的为各种模拟信号所示的为各种模拟信号数字信号是表示数字量的信号,数字量实在时间和数数字信号是表示数字量的信号,数字量实在时间和数值上都是离散的。实现数字信号的产生、传输和处理值上都是离散的。实现数字信号的产生、传输和处理的电路称为数字电路。数字信号包括脉冲型(归的电路称为数字电路。数字信号包括脉冲型(归0型)型)和电平型(不归和电平型(不归0型)。如图型)。如图0-2-2所示所示(a)正弦波(a)正弦波(b)矩形波(方波)(b)矩形波(方波)(c)尖脉冲(c)尖脉

8、冲(d)锯齿冲(d)锯齿冲图1-1 几种模拟信号的波形图1-1 几种模拟信号的波形1 1 1 1 1 11 11 1 1 1(a)电平型数字信号(a)电平型数字信号0 01 11 11 11 11 10 00 00 00 00 0(b)脉冲型数字信号(b)脉冲型数字信号图1-2 两种数字信号波形图1-2 两种数字信号波形 数字信号是用数码表示的,其数码中只有数字信号是用数码表示的,其数码中只有“1”和和“0”两个数字,而两个数字,而“1”和和“0”没有数量的意义,表示事没有数量的意义,表示事物的两个对立面。物的两个对立面。数码可以表示数字信号的大小和状态,如数码可以表示数字信号的大小和状态,如

9、1001可可表示数量表示数量“10”,也可以表示某个事物的代号,如运动,也可以表示某个事物的代号,如运动员的编号,这时将这些数码称为代码。员的编号,这时将这些数码称为代码。数码的编写形式是多样的,其遵循的原则称为码数码的编写形式是多样的,其遵循的原则称为码制。码制的编写不受限制,但有一些通用的码制,如制。码制的编写不受限制,但有一些通用的码制,如十进制、二进制、八进制和十六进制等等。下面就介十进制、二进制、八进制和十六进制等等。下面就介绍这几种常用的码制。绍这几种常用的码制。(1)数字电路的基本工作信号是用1和0表示的二进制的数字信号,反映在电路上就是高电平和低电平。(2)晶体管处于开关工作状

10、态,抗干扰能力强、精度高。(3)通用性强。结构简单、容易制造,便于集成及系列化生产。(4)具有“逻辑思维”能力。数字电路能对输入的数字信号进行各种算术运算和逻辑运算、逻辑判断,故又称为数字逻辑电路。(5 5)数字信号)数字信号便于长期存储便于长期存储。目前广泛使。目前广泛使用的各种硬磁盘和光盘等都是存储二值信号用的各种硬磁盘和光盘等都是存储二值信号的部件。的部件。(6 6)数字信号数字信号保密性好保密性好。1.数字电路的分类(1)按电路结构分类 组合逻辑电路:电路的输出信号只与当时的输入信号有关,而与电路原来的状态无关。时序逻辑电路:电路的输出信号不仅与当时的输入信号有关,而且还与电路原来的状

11、态有关。(2)按集成电路规模分类集成度:每块集成电路芯片中包含的元器件数目小规模集成电路(Small Scale IC,SSI)中规模集成电路(Medium Scale IC,MSI)大规模集成电路(Large Scale IC,LSI)超大规模集成电路(Very Large Scale IC,VLSI)特大规模集成电路(Ultra Large Scale IC,ULSI)巨大规模集成电路(Gigantic Scale IC,GSI)划划分分集集成成电电路路规规模模的的标标准准 数数字字集集成成电电路路 类类 别别 MOS IC 双双极极IC 模模拟拟集集成成电电路路 SSI 102 100

12、30 MSI 102103 100500 30100 LSI 103105 5002000 100300 VLSI 105107 2000 300 ULSI 107109 GSI 109 (1)逻辑代数是分析和设计数字电路的重要工具,应熟练掌握。(2)重点掌握各种常用数字逻辑电路的逻辑功能、外部特性及典型应用。对其内部电路结构和工作原理不必过于深究。(3)掌握基本的分析方法。(4)本课程实践性很强。应重视习题、基础实验和综合实训等实践性环节。(5)注意培养和提高查阅有关技术资料和数字集成电路产品手册的能力。越来越大的设计 越来越短的推向市场的时间 越来越低的价格 大量使用计算机辅助设计工具(E

13、DA技术)多层次的设计表述 大量使用复用技术 IP(Intellectual Property)数制:就是数的表示方法,把多位数码中每一位的构成数制:就是数的表示方法,把多位数码中每一位的构成方法以及按从低位到高位的进位规则进行计数称为进位方法以及按从低位到高位的进位规则进行计数称为进位计数制,简称数制计数制,简称数制 最常用的是十进制,除此之外在数字电路和计算最常用的是十进制,除此之外在数字电路和计算机中常用的是二进制、八进制和十六进制机中常用的是二进制、八进制和十六进制一、一、十进制十进制 进位规则是进位规则是。任意一个。任意一个n位整数、位整数、m位小数的十进制可表示为位小数的十进制可表

14、示为1110111021101010101010)(nmiiimmonnmnnkkkkkkkkkkD称为数制的系数,表示第称为数制的系数,表示第i位的系数,十进制位的系数,十进制的取值为的取值为0 9十个数,十个数,i 取值从取值从(n1)0的所的所有正整数到有正整数到1m的所有负整数的所有负整数10 i表示第表示第i位的权值,位的权值,10为基数,即采用数码的为基数,即采用数码的个数个数n、m为正整数,为正整数,n为整数部分的位数,为整数部分的位数,m为小为小数部分的位数数部分的位数1110111021101010101010)(nmiiimmonnmnnkkkkkkkkkkDiiNkD例如

15、:例如:(249.56)102102 4101 9100 +5101 2102其中其中n3,m2若用若用N表示任意进制(称为表示任意进制(称为N进制)的基数,则展成十进制)的基数,则展成十进制数的通式为进制数的通式为1110111021nmiiimmonnmnnNNkNkNkNkNkkkkkkD)(如如N10为十进制,为十进制,N2为二进制,为二进制,N8为八进制,为八进制,N16为十六进制。其中为十六进制。其中N为基数,为基数,为第为第i位的系数,位的系数,N i表示第表示第i位的权值位的权值二、二进制:二、二进制:其中其中2i为二进制的权,基数为为二进制的权,基数为2 n、m为正整数为正整

16、数如(如(11011.101)2=124+123+022+121+120 +121+02-2+123 =(27.625)101110111021222222nmiiimmonnmnnkkkkkkkkkkD)(进位规则是进位规则是任意一个任意一个n位整数、位整数、m位小数的二进制可表示为位小数的二进制可表示为 一个数码的进制表示,可用下标,如(一个数码的进制表示,可用下标,如(N)2表示表示二进制;二进制;(N)10表示十进制;表示十进制;(N)8表示八进制,表示八进制,(N)16表示十六进制表示十六进制 有时也用字母做下标,如(有时也用字母做下标,如(N)B表示二进制,表示二进制,BBinar

17、y;(;(N)D表示十进制,表示十进制,DDecimal;(;(N)O表表示八进制,示八进制,OOctal;(;(N)H 表示十六进制,表示十六进制,HHexadecimal;三、八进制三、八进制 进位规则是进位规则是任意一个任意一个n位整数、位整数、m位小数的八进制可表示为位小数的八进制可表示为1110111021888888nmiiimmonnmnnkkkkkkkkkkN)(8i为八进制的权,基数为为八进制的权,基数为8 n、m为正整数为正整数如(如(13.74)8=181+380+781+48-2=(11.9375)10其中其中1110111021888888nmiiimmonnmnnk

18、kkkkkkkkkN)(四、十六进制四、十六进制 进位规则是进位规则是任意一任意一个个n位整数、位整数、m位小数的十六进制可表示为位小数的十六进制可表示为1110111021161616161616nmiiimmonnmnnkkkkkkkkkkN)(1110111021161616161616nmiiimmonnmnnkkkkkkkkkkN)(16 i为十六进制的权,基数为为十六进制的权,基数为16 n、m为正整数为正整数如(如(F9.1A)16=15161+9160+1161+1016-2 =(249.1015625)10其中其中目前在计算机上常用的是目前在计算机上常用的是8位、位、16位和

19、位和32位二进制数表位二进制数表示和计算,由于示和计算,由于8位、位、16位和位和32位二进制数都可以用位二进制数都可以用2位、位、4位和位和8位十六进制数表示,故在编程时用十六进制书写位十六进制数表示,故在编程时用十六进制书写非常方便非常方便DBOHDBOH000000008100010810001011910011192001002210101012A3001103311101113B4010004412110014C5010105513110115D6011006614111016E7011107715111117F表表1.2.1表表1.2.1为为015个数码的不同进制表示。个数码的不同

20、进制表示。1.3 不同数制间的转换不同数制间的转换一、一、二进制数、八进制数和十六进制数转换成十进制数二进制数、八进制数和十六进制数转换成十进制数数制转换:不同进制的数码之间的转换叫做数制转换数制转换:不同进制的数码之间的转换叫做数制转换1110111021nmiiimmonnmnnNNkNkNkNkNkkkkkkD)(例如:例如:DB).(.).(752725050128162121212120212111110112101234 即将二进制数、八进制数和十六进制数转换成十即将二进制数、八进制数和十六进制数转换成十进制数,方法是将二进制数、八进制数和十六进制数进制数,方法是将二进制数、八进制

21、数和十六进制数按下列公式进行按下列公式进行展开求和展开求和即可即可a.十进制的整数转换:十进制的整数转换:DO).(.).(64126015625062506566481858687815117621012二、十进制数转换成二进制数:二、十进制数转换成二进制数:D).(.)EC.AF(2H816880546875075015160512161416121615161016221012 将十进制的整数部分将十进制的整数部分用基数用基数2去除,保留余数去除,保留余数,再,再用商除用商除2,依次下去,直到商为,依次下去,直到商为0为止,其余数即为对为止,其余数即为对应的二进制数的整数部分应的二进制数

22、的整数部分 即将十进制数转换成二进制数,原则是即将十进制数转换成二进制数,原则是“整数除整数除2,小数乘小数乘2”b.十进制的小数转换十进制的小数转换 将小数将小数用基数用基数2去乘,保留积的整数去乘,保留积的整数,再用积的小数,再用积的小数继续乘继续乘2,依次下去,直到乘积是,依次下去,直到乘积是0为或达到要求的精度,为或达到要求的精度,其积的整数部分即为对应的二进制数的小数部分其积的整数部分即为对应的二进制数的小数部分例例1.3.1 将(将(173.39)D转化成二进制数转化成二进制数,要求精度为要求精度为1%。a.整数部分整数部分1731732 22 286861 10 02 24343

23、1 121211 12 210102 20 02 25 52 22 21 11 11 10 02 20 0)(0k)(1k)(2k)(3k)(4k)(5k)(6k)(7k解:其过程如下解:其过程如下即即(173)D=(10101101)Bb.小数部分小数部分由于精度要求为由于精度要求为1,故应该令,故应该令%12m取对数,可得取对数,可得210%12m1002m2100lg2lg1010m6.6m取取m7 满足精度要求,过程如下满足精度要求,过程如下0.392=0.780.392=0.780.782=1.560.782=1.56010.562=1.120.562=1.1210.122=0.24

24、0.122=0.2400.242=0.480.242=0.4800.482=0.960.482=0.9600.962=1.920.962=1.921)(1k)(2k)(3k)(4k)(5k)(6k)(7k即即(0.39)D=(0.0110001)B故(故(173.39)D =(10101101.0110001)B三、三、二进制转换成八进制和十六进制二进制转换成八进制和十六进制方法:由于方法:由于3位二进制数可以有位二进制数可以有8个状态,个状态,000111,正,正好是好是8进制,而进制,而4位二进制数可以有位二进制数可以有16个状态,个状态,00001111,正好是,正好是16进制,进制,依

25、此类推,对于十进制转换成其它进制,只要把基数依此类推,对于十进制转换成其它进制,只要把基数2换成其它进制的基数即可。换成其它进制的基数即可。若将八进制或十六进制转换成二进制,若将八进制或十六进制转换成二进制,即按三位或四位转成二进制数展开即可。即按三位或四位转成二进制数展开即可。解:解:(1011110.1011001)B(001 011 110.101 100 100)2 (136.544)O(1011110.1011001)B(0101 1110.1011 0010)2 (5E.B2)H例例1.3.2 将(将(1011110.1011001)2转换成八进制和十六进转换成八进制和十六进制。制

26、。解:解:例例1.3.3 将(将(703.65)O 和(和(9F12.04A)H 转换成二进制数转换成二进制数(703.65)O(111000011.110101)B(9F12.04A)H=(1001111100010010.00000100101)B例例1.3.4 将将(87)D 转换成八进制数和十六进制数转换成八进制数和十六进制数解:先将解:先将87转化成二进制,过程如图转化成二进制,过程如图,则则2 287871 12 243431 121211 12 210102 20 02 25 52 22 21 11 11 10 02 20 0)(0k)(1k)(2k)(3k)(4k)(5k)(6

27、k(87)D(1010111)B=(001 010 111)B (0101 0111)B=(127)O =(57)H若要将十进制转换成八进制或若要将十进制转换成八进制或16进制,可先转换成二进制,再分组,转换进制,可先转换成二进制,再分组,转换成八进制或十六进制。成八进制或十六进制。1.4.1.二进制算术运算的特点二进制算术运算的特点 当两个二进制数码表示两个数量的大小,并且这两当两个二进制数码表示两个数量的大小,并且这两个数进行数值运算,这种运算称为个数进行数值运算,这种运算称为。其规则是。其规则是“逢二进一逢二进一”、“借一当二借一当二”。算术运算包括。算术运算包括“加减乘加减乘除除”,但

28、减、乘、除最终都可以化为带符号的加法运算。,但减、乘、除最终都可以化为带符号的加法运算。如两个数如两个数1001和和0101的算术运算如下的算术运算如下1001100101010101+111011101001100101010101-010001001001100101010101100110010000000010011001000000000101101010110110011001010101011 10101010110001000.1 1010101010110011001010101001000101 11.4.2 反码、补码和补码运算反码、补码和补码运算 在用二进制数码表示一个

29、数值时,其正负是怎么区在用二进制数码表示一个数值时,其正负是怎么区别的呢?二进制数的正负数值的表述是在二进制数码别的呢?二进制数的正负数值的表述是在二进制数码前加一位前加一位符号位符号位,用,用“0”表示正数,用表示正数,用“1”表示负数,表示负数,这种带符号位的二进制数码称为原码。这种带符号位的二进制数码称为原码。一、原码:一、原码:例如:例如:17的原码为的原码为010001,17的原码的原码为为110001二、反码二、反码反码是为了在求补码时不做减法运算。二进制的反码反码是为了在求补码时不做减法运算。二进制的反码求法是:求法是:正数的反码与原码相同,负数的原码除正数的反码与原码相同,负数

30、的原码除了符号位外的数值部分按位取反,即了符号位外的数值部分按位取反,即“1”改为改为“0”,“0”改为改为“0”,例如例如7和和7的原码和补码为:的原码和补码为:7的的原码为原码为0 111,反码为,反码为0 1117的的原码为原码为1 111,反码为,反码为1 000注:注:0的反码有两种表示,的反码有两种表示,0的反码为的反码为0 000,0的反码为的反码为1 111三、补码:三、补码:1.模(模数)的概念:模(模数)的概念:把一个事物的循环周期的长度,叫做这个事件的把一个事物的循环周期的长度,叫做这个事件的模或模数。模或模数。当做二进制减法时,可利用补码将减法运算转换成当做二进制减法时

31、,可利用补码将减法运算转换成加法运算。在将补码之前先介绍模(或模数)的概念加法运算。在将补码之前先介绍模(或模数)的概念如一年如一年365天,其模数为天,其模数为365;钟表是以;钟表是以12为一循环计为一循环计数的,故模数为数的,故模数为12。十进制计数就是。十进制计数就是10个数码个数码09,的循环,故模为的循环,故模为10。以表为例来介绍补码运算的原理:对于图以表为例来介绍补码运算的原理:对于图1.4.1所示的所示的钟表钟表12126 63 39 91 12 24 45 57 78 81010111110+7-12=510+7-12=510-5=510-5=5图1.4.1 补码的原理图1

32、.4.1 补码的原理 当在当在5点时发现表停在点时发现表停在10点,若想拨回有两种方法:点,若想拨回有两种方法:a.逆时针拨逆时针拨5个格,即个格,即 1055,这是做减法。,这是做减法。b.顺时针拨七个格,即顺时针拨七个格,即 10717,由于模是,由于模是12,故故1相当于进位相当于进位12,1溢出,溢出,故为故为7格,也是格,也是17125,这是做加法。这是做加法。由此可见由此可见107和和105的效果是一样的,而的效果是一样的,而5712,将故,将故7称为称为5的补数,的补数,即补码,也可以说减法可以即补码,也可以说减法可以由补码的加法来代替由补码的加法来代替12126 63 39 9

33、1 12 24 45 57 78 81010111110+7-12=510+7-12=510-5=510-5=5图1.4.1 补码的原理图1.4.1 补码的原理2.补码的表示补码的表示正数的补码和原码相同,正数的补码和原码相同,负数的补码是符号位为负数的补码是符号位为“1”,数值位按位取反,数值位按位取反加加“1”,即,即“反码加反码加1”例如:例如:+7-7原码原码0 1111 111反码反码0 1111 000补码补码0 1111 001注意:注意:1.采用补码后,可以方便地将减法运算转换成加法运采用补码后,可以方便地将减法运算转换成加法运算,而乘法和除法通过移位和相加也可实现,这样可算,

34、而乘法和除法通过移位和相加也可实现,这样可以使运算电路结构得到简化;以使运算电路结构得到简化;2.正数的补码既是它所表示的数的真值,负数的补码部正数的补码既是它所表示的数的真值,负数的补码部分不是它所示的数的真值。分不是它所示的数的真值。3.与原码和反码不同,与原码和反码不同,“0”的补码只有一个,即的补码只有一个,即(00000000)B4.已知原码,求补码和反码:正数的原码和补码、反码已知原码,求补码和反码:正数的原码和补码、反码相同;负数的反码是符号位不变,数值位取反,而补相同;负数的反码是符号位不变,数值位取反,而补码是符号位不变,数值位取反加码是符号位不变,数值位取反加“1”。如:原

35、码为如:原码为10110100,其反码为,其反码为11001011,补码为,补码为1100100。5.已知补码,求原码:正数的补码和原码相同;负数的已知补码,求原码:正数的补码和原码相同;负数的补码应该是数值位减补码应该是数值位减“1”再取反,但对于二进制数来说,再取反,但对于二进制数来说,先减先减“1”取反和先取反再加取反和先取反再加“1”的结果是一样的。故由的结果是一样的。故由负数的补码求原码就是数值位取反加负数的补码求原码就是数值位取反加“1”。如已知某数的补码为(如已知某数的补码为(11101110)B,其原码为,其原码为(10010010)B6.如果二进制的位数为如果二进制的位数为n

36、,则可表示的有符号位数的范,则可表示的有符号位数的范围为(围为(2n 2n11),如),如n8,则可表示,则可表示(128127),故在做加法时,注意两个数的绝对值不要超出它,故在做加法时,注意两个数的绝对值不要超出它所表示数的范围。所表示数的范围。例例1.4.1 用二进制补码计算用二进制补码计算:7528 、7528、7528、7528 (75)D(01001011)B (28)D(00011100)B (75)D(11001011)B (28)D(10011100)B 原码原码7 52 81 0 30 10010110 0011100 0 1100111(75)D(10110101)B;(

37、28)D(11100100)B;解:先求两个数的二进制原码和补码(用解:先求两个数的二进制原码和补码(用8位代码)位代码)补码补码7 52 8 4 70 10010111 11001001 0 0101111 7 52 810 31 01101011 11001001 1 0011001溢出溢出 7 52 8 4 71 01101010 0011100 1 1010001溢出溢出补码补码补码补码表表41为为4位带符号位二进制代码的原码、反码和补位带符号位二进制代码的原码、反码和补码对照表码对照表十进十进制数制数原码原码反码反码补码补码十进十进制数制数原码原码反码反码补码补码7011101110

38、1111100111101111601100110011021010110111105010101010101310111100110140100010001004110010111100300110011001151101101010112001000100010611101001101010001000100017111110001001000000000000081000111110001.5 二进制编码二进制编码1.5.1三个术语三个术语数码数码:代表一个确切的数字,如二进制数,八进制:代表一个确切的数字,如二进制数,八进制数等。数等。代码代码:特定的二进制数码组,是不同信号的代号,不

39、:特定的二进制数码组,是不同信号的代号,不一定有数的意义一定有数的意义编码编码:n 位二进制数可以组合成位二进制数可以组合成2n 个不同的信息,给每个不同的信息,给每个信息规定一个具体码组,这种过程叫编码。个信息规定一个具体码组,这种过程叫编码。数字系数字系统中常用的编码有两类,一类是二进制编码,另一类统中常用的编码有两类,一类是二进制编码,另一类是是 二二-十进制编码。另外无论二进制编码还是二十进十进制编码。另外无论二进制编码还是二十进制编码,都可分成有权码(每位数码代表的权值固定)制编码,都可分成有权码(每位数码代表的权值固定)和无权码和无权码 用用4位二进制代码表示十进制的位二进制代码表

40、示十进制的09个数码,即二个数码,即二十进制的编码。十进制的编码。4位二进制代码可以有位二进制代码可以有00001111十十六个状态,则表示六个状态,则表示09十个状态可以有多种编码形式,十个状态可以有多种编码形式,其中常用的有其中常用的有8421码、余码、余3码、码、2421码、码、5211码、余码、余3循环码等,其中循环码等,其中8421码、码、2421码、码、5211码为有权码,码为有权码,即每一位的即每一位的1都代表固定的值。都代表固定的值。表表1.5.1为几种编码形式为几种编码形式表表1.5.1编码种类编码种类十进制数十进制数8421码8421码(BCD代码)(BCD代码)余3码余3

41、码2421码2421码5211码5211码余3循环码余3循环码0 01 12 23 34 45 56 67 78 89 9权权000000000001000100100010001100110100010001010101011001100111011110001000100110018421842100110011010001000101010101100110011101111000100010011001101010101011101111001100000000000001000100100010001100110100010010111011110011001101110111101

42、1101111111124212421000000000001000101000100010101010111011110011001100010001100110011011101111111115211521100100010011001100111011101010101010001001100110011011101111111111110111010101010返回返回A返回返回B说明:说明:1.8421码码:又称又称BCD码,是最常用的十进制编码。其每码,是最常用的十进制编码。其每位的权为位的权为8、4、2、1,按公式,按公式 展开,即可得展开,即可得对应的十进制数,如(对应的十进

43、制数,如(0101)21241 205iikD22.余余3码不是有权码,由于它按二进制展开后十进制数码不是有权码,由于它按二进制展开后十进制数比所表示的对应的十进制数大比所表示的对应的十进制数大3。如。如0101表示的是表示的是2,其,其展开十进制数为展开十进制数为5,故称为余,故称为余3码。采用余码。采用余3码的好处是:码的好处是:利用余利用余3码做加法时,如果所得之和为码做加法时,如果所得之和为10,恰好对应二,恰好对应二进制进制16,可以自动产生进位信号。如,可以自动产生进位信号。如0110(3)1010(7)1111(10);另外);另外0和和9、1和和8、2和和7是互为是互为反码,这

44、对于求补很方便。反码,这对于求补很方便。链接链接A3.2421码是有权码,其每位的权为码是有权码,其每位的权为2、4、2、1,如,如(1100)2=12146,与余,与余3码相同码相同0和和9、1和和8、2和和7是互为反码。另外当任何两个这样的编码值相加是互为反码。另外当任何两个这样的编码值相加等于等于9时,结果的时,结果的4个二进制码一定都是个二进制码一定都是1111。4.5211码也是有权码,其每位的权为码也是有权码,其每位的权为5、2、1、1,如,如(0111)2=1211114,主要用在分频器上,主要用在分频器上5.余余3循环码是无权码,它的特点是相邻的两个代码之循环码是无权码,它的特

45、点是相邻的两个代码之间只有一位状态不同。这在译码时不会出错(竞争间只有一位状态不同。这在译码时不会出错(竞争冒险)冒险)链接链接B1.5.3 二进制编码:二进制编码:表表1.1 1.1 两种两种4 4位二进制编码位二进制编码 十进制十进制数数自然二自然二进制码进制码循环二循环二进制码进制码十进制十进制数数自然二自然二进制码进制码循环二循环二进制码进制码000000000810001100100010001910011101200100011101010111130011001011101111104010001101211001010501010111131101101160110010114

46、111010017011101001511111000它包括自然码和循环码,如表它包括自然码和循环码,如表1.5.2所示所示返回返回循环码循环码:也叫格雷码,它是无权码,每位代码无固定:也叫格雷码,它是无权码,每位代码无固定权值,其组成是格雷码的最低位是权值,其组成是格雷码的最低位是0110循环;第二位循环;第二位是是00111100循环;第三位是循环;第三位是0000111111110000循环,以循环,以此类推可以得到多位数的格雷码。格雷码的特点是任此类推可以得到多位数的格雷码。格雷码的特点是任何相邻的两个码组中,仅有一位代码不同,抗干扰能何相邻的两个码组中,仅有一位代码不同,抗干扰能力强,主要用在计数器中。力强,主要用在计数器中。自然码自然码:有权码,每位代码都有固定权值,结构形式:有权码,每位代码都有固定权值,结构形式与二进制数完全相同,最大计数为与二进制数完全相同,最大计数为2n1,n为二进制为二进制数的位数数的位数链接链接1.5.4 美国信息交换标准代码(美国信息交换标准代码(ASC)(自学)(自学)l补充:(01111001)8421BCD码等值的二进制数是()2.l1.1,l1.4(4),1.5(4),1.6(4),1.7(4)l1.8(1),l1.12(1),(3)l1.14(3),(5)

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

相关资源

更多
正为您匹配相似的精品文档
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!