可编程逻辑器件(EDA):第8章 系统优化和时序分析

上传人:努力****83 文档编号:189034514 上传时间:2023-02-21 格式:PPT 页数:51 大小:2.14MB
收藏 版权申诉 举报 下载
可编程逻辑器件(EDA):第8章 系统优化和时序分析_第1页
第1页 / 共51页
可编程逻辑器件(EDA):第8章 系统优化和时序分析_第2页
第2页 / 共51页
可编程逻辑器件(EDA):第8章 系统优化和时序分析_第3页
第3页 / 共51页
资源描述:

《可编程逻辑器件(EDA):第8章 系统优化和时序分析》由会员分享,可在线阅读,更多相关《可编程逻辑器件(EDA):第8章 系统优化和时序分析(51页珍藏版)》请在装配图网上搜索。

1、EDA技术实用教程技术实用教程第第8 8章章 系统优化和时序分析系统优化和时序分析 8.1 资资 源源 优优 化化 8.1.1 资源共享资源共享 8.1 资资 源源 优优 化化 8.1.1 资源共享资源共享 8.1 资资 源源 优优 化化 8.1.1 资源共享资源共享 8.1 资资 源源 优优 化化 8.1.1 资源共享资源共享 8.1 资资 源源 优优 化化 8.1.1 资源共享资源共享 8.1 资资 源源 优优 化化 8.1.2 逻辑优化逻辑优化 8.1 资资 源源 优优 化化 8.1.2 逻辑优化逻辑优化 8.1 资资 源源 优优 化化 8.1.3 串行化串行化 8.1 资资 源源 优优

2、 化化 8.1.3 串行化串行化 接下页接下页8.1 资资 源源 优优 化化 8.1.3 串行化串行化 接上页接上页8.2 速速 度度 优优 化化 8.2.1 流水线设计流水线设计 8.2 速速 度度 优优 化化 8.2.1 流水线设计流水线设计 8.2 速速 度度 优优 化化 8.2.1 流水线设计流水线设计 8.2 速速 度度 优优 化化 8.2.1 流水线设计流水线设计 8.2 速速 度度 优优 化化 8.2.1 流水线设计流水线设计 8.2 速速 度度 优优 化化 8.2.1 流水线设计流水线设计 8.2 速速 度度 优优 化化 8.2.2 寄存器配平寄存器配平 8.2 速速 度度 优

3、优 化化 8.2.2 寄存器配平寄存器配平 8.2 速速 度度 优优 化化 8.2.3 关键路径法关键路径法 8.2 速速 度度 优优 化化 8.2.4 乒乓操作法乒乓操作法 8.2 速速 度度 优优 化化 8.2.5 加法树法加法树法 加法树速度优化技术部分类似于流水线法。加法树速度优化技术部分类似于流水线法。2输入加法树结构输入加法树结构若将加法树逐级拓展,可以实现更长的树结构。若将加法树逐级拓展,可以实现更长的树结构。8.3 优化设置与时序分析优化设置与时序分析 8.3.1 使用使用Design Assistant检查设计可靠性检查设计可靠性 8.3 优化设置与时序分析优化设置与时序分析

4、 8.3.2 增量布局布线控制设置增量布局布线控制设置 8.3 优化设置与时序分析优化设置与时序分析 8.3.3 时序设置与分析时序设置与分析 8.3 优化设置与时序分析优化设置与时序分析 8.3.4 查看时序分析结果查看时序分析结果 8.3 优化设置与时序分析优化设置与时序分析 8.3.5 适配优化设置示例适配优化设置示例(1)建立工程)建立工程(2)打开)打开Assignment Editor对话框对话框 8.3 优化设置与时序分析优化设置与时序分析 8.3.5 适配优化设置示例适配优化设置示例(3)选项设置)选项设置 8.3 优化设置与时序分析优化设置与时序分析 8.3.6 LogicL

5、ock优化技术优化技术 Quartus II提供了一种非常优秀的优化技术,即逻辑锁定技术提供了一种非常优秀的优化技术,即逻辑锁定技术(Logic Lock)。)。Quartus II支持逻辑锁定技术的支持逻辑锁定技术的FPGA器件系列有器件系列有APEX20K、APEXII、Excalibur、Cyclone/II/III和和Stratix/II/III等。等。习题习题8-1 利用资源共享的面积优化方法对例利用资源共享的面积优化方法对例8-9程序进行优化(仅要求在面积程序进行优化(仅要求在面积上优化)。上优化)。习题习题8-2 试通过优化逻辑的方式对图试通过优化逻辑的方式对图8-20所示的结构

6、进行改进,给出所示的结构进行改进,给出VHDL代代码和结构图。码和结构图。习题习题8-3 已知已知4阶直接型阶直接型FIR滤波器的数学表达式如下:滤波器的数学表达式如下:y(n)=x(n)h(0)+x(n-1)+x(n-2)h(2)+x(n-3)h(3)x(n)与与 x(n-m),m=0,1,2,3是延迟关系,是延迟关系,m表示延迟的表示延迟的clk 数。数。x(n-m)与与h(m)的位宽均为的位宽均为8位,位,y(n)为为10位,其中位,其中h(m)在模块例化后为常数。该模块的在模块例化后为常数。该模块的输入为输入为x(n)、clk,输出为,输出为y(n),试实现该逻辑。,试实现该逻辑。8-

7、4 对习题对习题8-3中的中的FIR滤波器在速度上进行优化滤波器在速度上进行优化(在在h(m)固定的情况下固定的情况下),试采用,试采用流水线技术。流水线技术。8-5 利用利用FLEX的的LUT结构,构建资源占用较小的常数乘法器,改进习题结构,构建资源占用较小的常数乘法器,改进习题8-3和习和习题题8-4的设计,减少模块的资源使用。的设计,减少模块的资源使用。8-6 若对速度要求不高,但目标芯片的容量较小,试把习题若对速度要求不高,但目标芯片的容量较小,试把习题8-3中的中的FIR滤波器滤波器用串行化的方式实现。用串行化的方式实现。8-7 设计一个连续乘法器,输入为设计一个连续乘法器,输入为a

8、0、a1、a2、a3,位宽各为,位宽各为8位,输出位,输出rout为为32位,完成位,完成rout=a0*a1*a2*a3。试实现之。试实现之。8-8 对习题对习题8-7进行优化,判断以下实现方法中哪种方法更好?进行优化,判断以下实现方法中哪种方法更好?(1)rout=(a0*a1)*a2)*a3(2)rout=(a0*a1)*(a2*a3)8-9 为提高速度,对习题为提高速度,对习题8-8中的前一种方法加上流水线技术进行实现。中的前一种方法加上流水线技术进行实现。8-10 试对以上的习题解答通过设置试对以上的习题解答通过设置Quartus II相关选项的方式,提高速度,减相关选项的方式,提高

9、速度,减小面积。小面积。实验与设计实验与设计8-1 采用流水线技术设计高速数字相关器采用流水线技术设计高速数字相关器(1)实验目的:)实验目的:(2)实验原理:)实验原理:(3)实验任务)实验任务1:实验与设计实验与设计8-1 采用流水线技术设计高速数字相关器采用流水线技术设计高速数字相关器(4)实验任务)实验任务2:(5)实验任务)实验任务3:(6)实验任务)实验任务4:(7)思考题:)思考题:(8)实验报告:)实验报告:实验与设计实验与设计8-2 线性反馈移位寄存器设计线性反馈移位寄存器设计(1)实验目的:)实验目的:(2)实验原理:)实验原理:(3)实验任务:)实验任务:实验与设计实验与

10、设计8-2 线性反馈移位寄存器设计线性反馈移位寄存器设计(4)思考题)思考题1:(5)思考题)思考题2:(6)实验报告:)实验报告:实验与设计实验与设计8-3 循环冗余校验(循环冗余校验(CRC)模块设计)模块设计(1)实验目的:)实验目的:(2)实验原理:)实验原理:实验与设计实验与设计8-3 循环冗余校验(循环冗余校验(CRC)模块设计)模块设计(1)实验目的:)实验目的:(2)实验原理:)实验原理:接下页接下页实验与设计实验与设计8-3 循环冗余校验(循环冗余校验(CRC)模块设计)模块设计(1)实验目的:)实验目的:(2)实验原理:)实验原理:接上页接上页接下页接下页实验与设计实验与设

11、计8-3 循环冗余校验(循环冗余校验(CRC)模块设计)模块设计(1)实验目的:)实验目的:(2)实验原理:)实验原理:接上页接上页实验与设计实验与设计8-3 循环冗余校验(循环冗余校验(CRC)模块设计)模块设计(3)实验任务)实验任务1:(4)实验任务)实验任务2:(5)思考题)思考题1:(6)思考题)思考题2:(7)思考题)思考题3:(8)实验报告:)实验报告:实验与设计实验与设计8-4 设计设计3级流水线级流水线16位加法器位加法器实验任务:实验任务:根据根据8.2.1介绍的方法,设计具有介绍的方法,设计具有3级流水线的级流水线的16位加法器。在位加法器。在Quartus II上仿真上

12、仿真验证,并通过验证,并通过Quartus II的相关编译报告比较无流水线(可以加一级锁存器以利的相关编译报告比较无流水线(可以加一级锁存器以利比较)和有比较)和有3级流水线的级流水线的16位加法器的数据处理速度及资源占用情况。位加法器的数据处理速度及资源占用情况。实验与设计实验与设计8-5 基于基于DES数据加密标准的加解密系统设计数据加密标准的加解密系统设计(1)实验原理:)实验原理:(2)实验任务:)实验任务:实验与设计实验与设计8-6 SPWM脉宽调制控制系统设计脉宽调制控制系统设计(1)实验原理:)实验原理:实验与设计实验与设计8-6 SPWM脉宽调制控制系统设计脉宽调制控制系统设计

13、(1)实验原理:)实验原理:实验与设计实验与设计8-6 SPWM脉宽调制控制系统设计脉宽调制控制系统设计(2)实验内容)实验内容1:演示示例:演示示例:/KX_7C5EE+/EXPERIMENTs/EXP33_PWM_GENERATOR/。(3)实验内容)实验内容2:设计示例:设计示例:/KX_7C5EE+/EXPERIMENTs/EXP37_SPWM_Basic/实验与设计实验与设计8-6 SPWM脉宽调制控制系统设计脉宽调制控制系统设计(2)实验内容)实验内容1:演示示例:演示示例:/KX_7C5EE+/EXPERIMENTs/EXP33_PWM_GENERATOR/。(3)实验内容)实验

14、内容2:设计示例:设计示例:/KX_7C5EE+/EXPERIMENTs/EXP37_SPWM_Basic/(4)实验内容)实验内容3:(5)实验内容)实验内容4:实验与设计实验与设计实验与设计实验与设计8-7 步进电机细分控制电路设计步进电机细分控制电路设计(1)实验目的:)实验目的:(2)实验原理:)实验原理:1.步进电机细分驱动原理步进电机细分驱动原理 2步距细分的系统构成步距细分的系统构成 3.细分驱动性能的改善细分驱动性能的改善 实验与设计实验与设计8-7 步进电机细分控制电路设计步进电机细分控制电路设计(1)实验目的:)实验目的:(2)实验原理:)实验原理:1.步进电机细分驱动原理步进电机细分驱动原理 2步距细分的系统构成步距细分的系统构成 3.细分驱动性能的改善细分驱动性能的改善 实验与设计实验与设计8-7 步进电机细分控制电路设计步进电机细分控制电路设计(1)实验任务)实验任务1:(2)实验任务)实验任务2:(3)实验任务)实验任务3:

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!