EDA课程设计论文1

上传人:m**** 文档编号:187630920 上传时间:2023-02-16 格式:DOCX 页数:10 大小:222.65KB
收藏 版权申诉 举报 下载
EDA课程设计论文1_第1页
第1页 / 共10页
EDA课程设计论文1_第2页
第2页 / 共10页
EDA课程设计论文1_第3页
第3页 / 共10页
资源描述:

《EDA课程设计论文1》由会员分享,可在线阅读,更多相关《EDA课程设计论文1(10页珍藏版)》请在装配图网上搜索。

1、EDA课程设计报告书数字钟设计设计者:熊兵、万雁程指导老师:李敏学号:09387134、09387123专业班级:通信0911理工学院电子系目录1. 摘要,关键字32. 正文32.1设计任务及要求32.2方案选择与论证32.3方案的原理框图及其说明42.4硬件选择52.5系统设计详述52.6系统仿真及分析72.7下载测试及分析92.8收获体会、存在问题和进一步的改进意见等103. 参考文献10VHDL语言实现数字电子钟的设计作者:熊兵,万雁程指导老师:李敏摘要:随着基于PLD的EDA技术的发展和应用领域的扩大与深入,EDA技术 在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。本文

2、详细介绍 EDA课程设计任务一一数字钟的设计的详细设计过程及结果,并总结出心得体会。关键字:EDA技术;VHDL语言;数字钟EDA技术作为现代电子设计技术的核心,它依赖强大的计算机,在EDA工具软 件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成 逻辑编译、逻辑简化、逻辑分割、逻辑综合,以及逻辑优化和仿真测试,直至实现既定 的电子线路系统功能。笔者详细介绍在QUARTUS II软件环境下开发基于VHDL语 言数字钟的设计。1设计任务及要求1、设计内容选用合适的可编程逻辑器件及外围电子元器件,设计一个数字电子钟,利用EDA 软件(QUARTUS II)进行编译及仿真,

3、设计输入可采用VHDL硬件描述语言输入法 和原理图输入法,并下载到EDA实验开发系统,连接外围电路,完成实际测试。2、设计要求1)具有时、分、秒计数显示功能,以24小时循环计时。2)时钟计数显示时有LED灯的花样显示。3)具有调节小时、分钟及清零的功能。4)具有整点报时功能。2方案选择与论证数字系统的设计采用自顶向下、由粗到细,逐步分解的设计方法,最顶层电路是指 系统的整体要求,最下层是具体的逻辑电路的实现。自顶向下的设计方法将一个复杂的 系统逐渐分解成若干功能模块,从而进行设计描述,并且应用EDA软件平台自动完成 各功能模块的逻辑综合与优化,门级电路的布局,再下载到硬件中实现设计。根据总体方

4、框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、 小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下 的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。显示:小 时采用24进制,而分钟均是采用6进制和10进制的组合。1、性能指标及功能设计1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分60进制计数,即从0到59循环计数,时钟一24进制计数,即从0到23循环计 数,并且在数码管上显示数值。2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟 真正具有使用功能。我们可以通过实验板上的键7和键4进行任

5、意的调整,因为我们用 的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据 我们自己任意时间的复位。4)蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答滴答”的报警声音。5)LED灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从 而产生“花样”信号。2、总体框图数字时钟输出信号复位信号LED显示“花样”显示3 方案的原理框图及其说明控制单元使能端信号CLK信号花样显示数字时钟时显示分显示24进制60进制LED显示*秒显示* 60进制整点报时4硬件选择本次选用cyclo

6、ne系列EP1C3T144C8芯片。外部需接2个不同频率的时钟信号,及几 个上升沿按键,并接扬声器和不许译码器的数码管。以上均由EDA试验箱提供。5.系统设计详述整个系统的构成如下图所示。其中输入端口有:clkl用于送数及六选一选择器和整点报警的时序脉冲;Stop用于整点报时的停止控制;elk作为秒脉冲和整点报警的信声音号;reset用于各个模块复位;setmin调整分钟,接按键;sethou调整小时,接按键;输出端口:speak接扬声器,用于报时;led接数码管,送字型码;dp接数码管的小数点为,隔开时分秒位;sel位选扫描信号输出口,接数码管位选接口;wLEF:T丫 CLK1:| PINj

7、F |:rSTOPCLKCLK1SPEAKPIN 93PIN 1RESETFIN 2SETHOIJF:】 I PIN_3 p 7 7 ;-;SECONDCLKENMINSTOPRESETSETT.1INinst2DAi:HJT6.LiDAJNM6.ODA1NS6.Ofuii N i_iTECLKCLKSRESETSeHOUF:ENHOIJF:DA0UT6.LiinstlziiiTPUT| PIN茨I :.: :;::.:::J iHijundL :.J:?clkenminresetsetmindaout p.D.纟 insti 乡.FIN 39PIN 40FIN 41PIN 42FIN 47P

8、IN 48FIN 49rir4 C 口PIN 69PIN 73FIN 74PIN 75PIN 76FIN 77FIN 78HOURCLKDAOUT5.LiRESETinst4Second模块为秒计数模块。Clk作为秒脉冲, reset复位,setmin用于调整分钟,接按键,enmin 是当秒计数记到59后产生分脉冲,秒计数重新 从0开始计数。Daout为秒计数。秒计数模块:z.:.:.:.:.:.:.*.:-:-z.*z.*z.:埜分计数模块daout 6 .Dk3Instllju乏y:7:/:;:-:;:iji-Ei iJ 1、Id Fointe匚8.52 us Interval:8.5 u

9、s Start:End:5.65 usT. 29 us7. 93 us8. 57 usiiiiTrLrLrLrLnLrLrLrLrLTLrLrLrLrLrLrLrLrLrLrLrLrLrLnrrnrmrbb rm从仿真图形上来看,daout从0加到59后enhour有进位,说明分计数,时脉冲都是正 确的。Master Time Bar:17.825 nsPointer:11.85 us Interval:11.83 us Start:0 psEnd:Value w17.8311.64 us12.28 us12.92 us13.56 usnoelknrLrLrLrLnLrLrLrLrLrLrLT

10、LrLrLrLrLrLrLrLrLrLrLrLrese t田 daoutH Od hour模块小时计数模块利用24进制计数器,通过分钟的进位信号的输入可实现从00到23的循环 计数。4、整点报时报警模块整点报时模块仿真波形图波形分析由图知对于整点报时模块,当分钟计数至59时来一个时钟脉冲则产生一个进位信号,分 钟计数到00,此时产生报警信号持续一分钟。当有时钟脉冲时lamp显示灯就闪烁轮续点亮。 alert模块_0215.T9 us17.07 us18.35 us19.63 us_Z 4U-5C12C20C28elkB 1re se tBWEthijUKB I 2 tm i nB 1Epe:i

11、kerB 1+1 hourH 1:+1 m i nuH I:+1 seen ndH I:田 l:dJTipH IValue17.8:LrLrLrLrLnLrLTLrLrLnLrLrLrLrLnLrLrLrLrLnLrLrLrLn22从仿真波形来看,当stop=0和damin=0时,可看出speak有脉冲输出,stop=l停止。说 明报时是正确的。7下载测试及分析下载测试后的效果如下图所示,按复位键后数码管显示0时0分0秒开始计数,分秒时 计数都正确。按动调分键或调小时键后,分位或小时位开始自加,再按键后停止。当时间到 整点时会有十秒报时,按动停止键停止报时,不按此键时自动到十秒后停止报时。设计结果 达到要求。8收获体会、存在问题和进一步的改进意见等。从这次EDA设计中,可以看我们的动手能力还有待提高。另一方面,我们更加对EDA 从实践上更有深刻认识。从实践中发现问题,分析问题,解决问题在这次设计中很大的体现 出来,提高了我们的能力和自信。同时,成功与团队合作十分不开的。参考文献1潘松,黄继业.EDA技术实用教程M第2版 北京:科学出版社,20062曹昕燕,周凤臣,聂春燕.EDA技术实验与课程设计北京:清华大学出版社,2006.5

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!