八位十进制频率计数器

上传人:d**** 文档编号:185532482 上传时间:2023-02-04 格式:DOCX 页数:12 大小:623.10KB
收藏 版权申诉 举报 下载
八位十进制频率计数器_第1页
第1页 / 共12页
八位十进制频率计数器_第2页
第2页 / 共12页
八位十进制频率计数器_第3页
第3页 / 共12页
资源描述:

《八位十进制频率计数器》由会员分享,可在线阅读,更多相关《八位十进制频率计数器(12页珍藏版)》请在装配图网上搜索。

1、公眼年itHefei UniversityEDA技术课程设计:八位十进制频率计数器专 业:13电子(1)班姓 名:学 号:指导老师:、题目分析1、设计一个八位十进制的数字频率计:(1) 、能对方波测频率(2) 、能用数码管显示2、频率计设计原理及总设计框图(1)、频率计的基本原理是用一个频率稳定度高的频率源作为基 准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测 信号的脉冲个数,此时我们称闸门时间为2秒。闸门时间也可以 大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸 门时间越长则每次测量一次频率的间隔越长。闸门时间越短,测 得频率值刷新就越快,但测得的频率精度就受影响。本次的

2、课程 设计设计的频率计是测频法设计的八位十进制频率计,他有一个 测频控制信号发生器,八个有时钟使能的十进制计数器,一个锁 存器组成。频率计控制 信号发生器位八进制计 数器锁存器信位进计器八卜制如计数 器使 能信二、选择方案顶层文件用原理图连接,底层文件用VHDL语言。这样整个设 计清晰明了,结构直观。三、细化框图(1)、测频率控制信号发生器测频测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求测频率控制信号发生器的计数使能信号EN能产生一个 1秒的脉宽的周期信号,并对频率计的每一个计数器CNT10的EN 使能端进行同步测控。当TSTEN高电平时,允许计数;低电平时, 停止计数,并保持其所

3、计的数。在停止计数期间,首先需要一个 所存信号LOAD的上升沿将计数器在前一秒中的计数值所存进锁 存器中,并由外部的7段译码器译出并稳定显示。所存信号之后, 必须有一个清零的信号对计数器进行清零,为下一秒的计数做准 备。(2)、计数模块该十进制计数模块有八个一位十进制计数器组成,计数器的 特殊之处是:有一个时钟使能输入端EN,用于锁定计数器。当高 电平时计数允许,低电平时计数禁止。该测频的八位十进制频率 计的计数模块,先通过VHDL语言编写一位十进制计数器,再将 其元件例化后搭建一个八位十进制计数模块。(3)、所存模块所存模块是由锁存器构成的,主要是数据的稳定显示,不会由于周期性的清零信号而不

4、断的闪烁。在信号LOAD的上升沿后 即被所存到寄存器的内部,并由锁存器的输出端输出,然后由实 验板上的额7段译码器译成能在数码管上显示的相对应的数值。四、模块程序功能仿真频率控制模块软件仿真图:十进制计数器模块软件仿真图20.2锁存器软件仿真图五、全系统整机电路及仿真波形图ckoip.qP$tCOUTOK。叩qlitCOUTck侍tCO UTckistCOUTckoip.qHtCOUTetackoip.jqr$tCOUTckoip.qHtCOUTetaloaddoiip.jqdiip.q最终程序仿真图:结果输出:F1hz=1us , ftest=250nsF1hz=1us , ftest=50

5、ns六、硬件测试及说明我选择了实验电路模式0,测频控制信号CLK 2HZ由clock2 输入,待测频率FSIN由clock0输入(可用电路帽选择所需要 的频率),8个数码管(数码8-1: PIO47-PIO16)显示测频 的输出。引脚锁定如下所示七、结论从测试的结果可以看出:(1) 我所设计的八位十进制频率计对于八位的待测频率可以准 确的显示出来;(2) 待测频率的位数越多,越往后数码管显示结果的误差就越大。八、课程总结这次EDA课程设计历时一个星期,在这期间,学到很多很多 的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在 书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA

6、 的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编 写调试成功时,心里特别的开心。但是在编写顶层文件的程序时, 遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总 是有错误,在细心的检查下,终于找出了错误和警告,排除困难后, 程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到 了一点困难,想要的结果不能在波形上得到正确的显示,经过很长时 间的调试终于调试成功。在连接各个模块的时候一定要注意各个输 入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽 互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就 会导致整个文件系统的编译出现错误提示,在器件的选择上也

7、有一 定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到 完满成功。通过这次课程设计使我懂得了理论与实际相结合是很重 要的,只有理论知识是远远不够的,只有把所学的理论知识与实践 相结合起来,从理论中得出结论,才能真正为社会服务,从而提高 自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题, 可以说得是困难重重,难免会遇到过各种各样的问题,同时在设计 的过程中发现了自己的不足之处,对以前所学过的知识理解得不够 深刻,掌握得不够牢固。九、参考文献目录潘松、黄继业.2013.EDA技术实用教程(第五版).北京.科学出版社十、附录(源程序)底层模块设计CNT.VHD 十进制计数器模块

8、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; -打开库ENTITY CNT IS-端口定义PORT(CLK,RST,ENA : IN STD_LOGIC;-CLK为时钟脉冲,RST为复位信号,ENA使能OUTY: OUT INTEGER RANGE 0 TO 9;-计数输出端口COUT : OUT STD_LOGIC-计数进位输出端口);END CNT;-实体定义结束ARCHITECTURE A OF CNT IS-结构体开始BEGINPROCESS (CLK,RST,ENA)-过程定义,敏感表VARIABLE CQI: INTEGER RANGE 0 T

9、O 9;-定义进程里的局部变量,整型0到9BEGINIF RST = 1 THEN CQI:=0;-如果复位信号高电平,这将CQI清零ELSIF (CLKEVENT AND CLK = 1) THEN -复位信号无效,CLK上升沿到来就执行下一步IF ENA = 1 THENIF CQI9 THEN CQI:=CQI+1;加1ELSE CQI:=0;END IF;END IF;END IF;IF CQI=9 THEN COUT=1;ELSE COUT=0;END IF;OUTY=CQI;口END PROCESS;END A;-如果ENA高,则CQI加1-如果ENA高且CQI小于9,则CQI-否

10、则CQI置零-结束IF语句-结束IF语句-结束IF语句-若-将进程局部变量赋值给OUTY输出端-结束进程-结束结构体REG4B.VHD锁存器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;-打开库ENTITY REG4B IS-定义实体PORT(LOAD:IN STD_LOGIC;-信号锁存DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);-信号输入端口DOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-锁存输出END REG4B;ARCHITECTURE TWO OF REG4B ISBEGINPROCES

11、S(LOAD,DIN)BEGINIF LOADEVENT AND LOAD=1 THEN DOUT=DIN;END IF;END PROCESS;END TWO;-实体定义完毕-定义结构体-定义进程及其敏感表-锁存有效,则将输入给输出显示-否则不改变输出-进程结束TCT1.VHD测频控制器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TCT1 ISPORT(CLK:IN STD_LOGIC;ENA,RST,LOAD:OUT STD_LOGIC);END TCT1;ARCHITECT

12、URE ONE OF TCT1 ISSIGNAL DIVCLK :STD_LOGIC;SIGNAL DIVCLK1 :STD_LOGIC;BEGINN1:PROCESS(CLK)BEGINIF CLKEVENT AND CLK=1 THEN DIVCLK=NOT DIVCLK;END IF ;END PROCESS N1;N2:PROCESS(DIVCLK)BEGINIF DIVCLK EVENT AND DIVCLK =1 THEN DIVCLK1=NOT DIVCLK1;END IF ;END PROCESS N2;PROCESS(CLK,DIVCLK)BEGINIF CLK=0 AND DIVCLK=0 AND DIVCLK1=0THENRST=1;ELSE RST=0;END IF;END PROCESS;LOAD=NOT DIVCLK1;ENA=DIVCLK1;END ONE;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!