FPGA开发工具使用PPT课件

上传人:仙*** 文档编号:181875112 上传时间:2023-01-18 格式:PPT 页数:61 大小:2.80MB
收藏 版权申诉 举报 下载
FPGA开发工具使用PPT课件_第1页
第1页 / 共61页
FPGA开发工具使用PPT课件_第2页
第2页 / 共61页
FPGA开发工具使用PPT课件_第3页
第3页 / 共61页
资源描述:

《FPGA开发工具使用PPT课件》由会员分享,可在线阅读,更多相关《FPGA开发工具使用PPT课件(61页珍藏版)》请在装配图网上搜索。

1、u FPGA开发工具分类:开发工具分类:全球提供全球提供FPGA开发工具的厂商有近百家之多,大体分为两类:一类开发工具的厂商有近百家之多,大体分为两类:一类是专业软件公司研制的是专业软件公司研制的FPGA开发工具,独立于半导体器件厂商;另一类是半导体器件厂商为开发工具,独立于半导体器件厂商;另一类是半导体器件厂商为了开发本公司产品研制的了开发本公司产品研制的FPGA开发工具,只能用来开发本公司的产品。开发工具,只能用来开发本公司的产品。u 本章介绍的本章介绍的FPGA开发工具:开发工具:本章介绍的本章介绍的FPGA开发工具是开发工具是QuartusII,该工具属于专用,该工具属于专用FPGA开

2、发工具,开发工具,QuartusII是是Altera公司研制的公司研制的FPGA开发工具。开发工具。u QuartusII的版本:的版本:从使用者看来各版本的主要功能基本相同,只是有些操作界面有所不同。从使用者看来各版本的主要功能基本相同,只是有些操作界面有所不同。本章将以为例,介绍基本使用方法。提供的功能很多,读者可参考其他书籍或用户手册,学习本章将以为例,介绍基本使用方法。提供的功能很多,读者可参考其他书籍或用户手册,学习更多的内容。更多的内容。4.1 QuartusII 概述概述 4.2 QuartusII 使用使用 4.3 原理图文件输入原理图文件输入 4.4 参数化模块库使用参数化模

3、块库使用 4.5 层次化设计流程层次化设计流程 4.6 嵌入式逻辑分析仪使用嵌入式逻辑分析仪使用第4章 FPGA开发工具使用QuartusII支持哪些支持哪些FPGA的开发:的开发:Altera公司的各种系列的可编程逻辑器件开发,包括:公司的各种系列的可编程逻辑器件开发,包括:ACEX系列、系列、APEX系列、系列、ARM-based Excalibur系列、系列、Cyclone系列、系列、FLEX系列、系列、HardCopy Stratix系列、系列、MAX系列、系列、Mercury系列和系列和Stratix系列等。系列等。QuartusII是否有第三方工具的无缝连接:是否有第三方工具的无缝

4、连接:QuartusII提供了与第三方开发工具的无缝连接,支提供了与第三方开发工具的无缝连接,支持持Cadence、Mentor、Synopsys等专业软件公司的综合工具和校验工具,能读入和生成标准的等专业软件公司的综合工具和校验工具,能读入和生成标准的EDIF、VHDL及及Verilog HDL网表文件。网表文件。QuartusII使用的硬件环境:使用的硬件环境:无论使用个人电脑、无论使用个人电脑、NUIX或或Linux工作站,工作站,QuartusII都提供了方便都提供了方便的实体设计、快速的编译处理以及编程功能。的实体设计、快速的编译处理以及编程功能。4.1 4.1 QuartusII概

5、述QuartusII管理器窗口:管理器窗口:运行运行QuartusII,可以看到,可以看到QuartusII的管理器窗口,如图所示。的管理器窗口,如图所示。管理器窗口主要包含:管理器窗口主要包含:项目导航窗口、任务窗口、消息窗口,可以通过项目导航窗口、任务窗口、消息窗口,可以通过ViewUtility Windows菜菜单下的选项添加或隐藏这些窗口。单下的选项添加或隐藏这些窗口。项目导航窗口项目导航窗口任务窗口任务窗口消息窗口消息窗口设置文件:设置文件:为了保证为了保证QuartusII的正常运行,第一次运行软件,需要设置文件,否则工具的许多的正常运行,第一次运行软件,需要设置文件,否则工具的

6、许多功能将被禁用。在功能将被禁用。在QuartusII管理器窗口选择管理器窗口选择ToolsLicense Setup,点击,点击License file的的“.”按按钮,在出现的对话框中选择文件或直接输入具有完整路径的文件名,如图所示。钮,在出现的对话框中选择文件或直接输入具有完整路径的文件名,如图所示。4.2 QuartusII使用使用使用QuartusII开发工具的主要步骤:开发工具的主要步骤:进行进行FPGA器件的开发和应用,其步骤主要有设计输入、器件的开发和应用,其步骤主要有设计输入、设计处理、波形仿真和器件编程等。在设计的任何阶段出现错误,都需要进行修改,纠正错误,设计处理、波形仿

7、真和器件编程等。在设计的任何阶段出现错误,都需要进行修改,纠正错误,重复上述过程,直至每个阶段都正确为止。重复上述过程,直至每个阶段都正确为止。使用使用QuartusII开发工具的举例:开发工具的举例:下面将以一个下面将以一个6位二进制计数器的设计为例,介绍位二进制计数器的设计为例,介绍QuartusII的的使用流程,介绍如何经过设计各个阶段,最终将设计下载到使用流程,介绍如何经过设计各个阶段,最终将设计下载到FPGA芯片,使一片空白的芯片,使一片空白的FPGA裸裸片变为一片片变为一片6位二进制计数器芯片的完整过程。位二进制计数器芯片的完整过程。设计输入设计输入设计处理设计处理波形仿真波形仿真

8、器件编程器件编程FPGA裸片裸片6位二进制计数器位二进制计数器建立文件夹:建立文件夹:QuartusII 编辑器的工作对象是项目,项目用来管理所有设计文件以及编辑设计编辑器的工作对象是项目,项目用来管理所有设计文件以及编辑设计文件过程中产生的中间文档,建议读者在开始设计之前先建立一个文件夹,方便项目的管理。文件过程中产生的中间文档,建议读者在开始设计之前先建立一个文件夹,方便项目的管理。设计文件的种类:设计文件的种类:在一个项目下,可以有多个设计文件,这些设计文件的格式可以是原理图文在一个项目下,可以有多个设计文件,这些设计文件的格式可以是原理图文件、文本文件(如件、文本文件(如AHDL、VH

9、DL、Verilog HDL等文件)、符号文件、底层输入文件;第三方等文件)、符号文件、底层输入文件;第三方EDA工具提供的多种文件格式,如工具提供的多种文件格式,如EDIF、HDL、VQM等。等。以文本文件为例:以文本文件为例:学习设计输入过程中的主要操作。学习设计输入过程中的主要操作。4.2.1 设计输入设计输入1.1.建立设计项目建立设计项目项目路径项目路径项目名称项目名称顶层实体名顶层实体名 (1)在管理器窗口中选择菜单)在管理器窗口中选择菜单File/New Project Wizard.,出现新建项目向导,出现新建项目向导New Project Wizard对话框的第一页,对话框的

10、第一页,输入项目路径、项目名称和顶层实体名,如输入项目路径、项目名称和顶层实体名,如myexam。(2)新建项目向导第二页,)新建项目向导第二页,点击按钮点击按钮“.”可浏览文件选项,添加或删除与该项目有关的文件。可浏览文件选项,添加或删除与该项目有关的文件。初学者还没有建立文件,可以先跳过该页。初学者还没有建立文件,可以先跳过该页。(3)新建项目向导第三页,)新建项目向导第三页,根据器件的封装形式、引脚数目和速度级别,选择目标器件。读者可根据器件的封装形式、引脚数目和速度级别,选择目标器件。读者可以根据具备的实验条件进行选择,这里选择的芯片是以根据具备的实验条件进行选择,这里选择的芯片是Cy

11、clone系列中系列中EP1C6Q240C8芯片。芯片。当前项目当前项目(4)新建项目向导第四页,)新建项目向导第四页,添加第三方添加第三方EDA综合、仿真、定时等分析工具,系统默认选择综合、仿真、定时等分析工具,系统默认选择QuartusII的分析工具,对开发工具不熟悉的读者,建议采用系统默认选项。的分析工具,对开发工具不熟悉的读者,建议采用系统默认选项。(5)新建项目向导对话框的最后一页,)新建项目向导对话框的最后一页,给出前面输入内容的总览。点击给出前面输入内容的总览。点击Finish按钮,按钮,myexam项目项目出现在项目导航窗口,出现在项目导航窗口,myexam表示顶层实体文件,如

12、图所示。在任务窗口出现设计项目过程中的表示顶层实体文件,如图所示。在任务窗口出现设计项目过程中的全部操作,执行操作命令的方法可以在菜单栏下选择命令、点击工具栏中对应的工具按钮或者在任全部操作,执行操作命令的方法可以在菜单栏下选择命令、点击工具栏中对应的工具按钮或者在任务窗口双击命令。务窗口双击命令。任务窗口任务窗口2.输入文本文件输入文本文件使用文本编辑器模板:使用文本编辑器模板:QuartusII支持支持AHDL、VHDL、及、及Verilog HDL等硬件描述语言描述的文等硬件描述语言描述的文本文件,关于如何用本文件,关于如何用VHDL描述硬件电路请参考第描述硬件电路请参考第5章和第章和第

13、6章。这里将结合实例说明如何使用文章。这里将结合实例说明如何使用文本编辑器模板输入本编辑器模板输入VHDL文本文件。文本文件。新建新建VHDL文本文件:文本文件:在在QuartusII管理器界面中选择菜单管理器界面中选择菜单FileNew.,或单击新建文件按钮,出,或单击新建文件按钮,出现现New对话框,如图所示。在对话框对话框,如图所示。在对话框Design Files中选择中选择VHDL File,点击,点击ok按钮,打开文本编辑按钮,打开文本编辑器。在文本编辑器窗口下,按照器。在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存,语言规则输入设计文件,并将其保存,VHDL文件

14、的扩展文件的扩展名为名为.vhd。文件扩展名:文件扩展名:QuartusII支持多种硬件描述语言,不同的硬件描述语言编写的文件扩展名不同,如支持多种硬件描述语言,不同的硬件描述语言编写的文件扩展名不同,如AHDL文件扩展名为文件扩展名为.tdf,Verilog HDL文件扩展名为文件扩展名为.v,VHDL文件的扩展名为文件的扩展名为.vhd。QuatusII提供的文本文件编辑模板:提供的文本文件编辑模板:使用模板可快速准确地创建使用模板可快速准确地创建VHDL文本文件,避免语法错误,文本文件,避免语法错误,提高编辑效率。例如:用提高编辑效率。例如:用VHDL模板设计一个模板设计一个6位二进制计

15、数器的位二进制计数器的VHDL文本文件。文本文件。(1)选择菜单)选择菜单EditInsert Template,打开打开Insert Template对话框,点击右侧对话框,点击右侧LanguageTemplate栏目打开栏目打开VHDL,VHDL栏目下显示出所有栏目下显示出所有VHDL的程序模板,如图所示。的程序模板,如图所示。(1)打开模板:)打开模板:选择菜单选择菜单EditInsert Template,打开打开Insert Template对话框,点击右侧对话框,点击右侧LanguageTemplate栏目打开栏目打开VHDL,VHDL栏目下显示出所有栏目下显示出所有VHDL的程序

16、模板。的程序模板。(2)选择模板:)选择模板:在在VHDL模板中选择模板中选择Full DesignArithmeticCoutersBinary Counter,Insert Template对话框的右侧会出现计数器模板程序的预览。这是一个带清零和使能端的计数器模板。对话框的右侧会出现计数器模板程序的预览。这是一个带清零和使能端的计数器模板。点击点击Insert,模板程序出现在文本编辑器中,其中兰色的字母是关键字,绿色部分为注释语句。,模板程序出现在文本编辑器中,其中兰色的字母是关键字,绿色部分为注释语句。(3)修改模板:)修改模板:根据设计要求,对模板中的文件名、信号名、变量名等黑色文字内

17、容进行修改。根据设计要求,对模板中的文件名、信号名、变量名等黑色文字内容进行修改。将实体名将实体名binary_counter修改为修改为myexam1;将程序中变量表示改为常数形式;删掉;将程序中变量表示改为常数形式;删掉enable输入信号输入信号等。等。修改后的修改后的VHDL代码如下:代码如下:-Quartus II VHDL Template-Binary Counterlibrary ieee;use ieee.std_logic_1164.all;use;entity myexam1 is-实体名为实体名为myexam1port(clk :in std_logic;-时钟信号时钟

18、信号clk定义定义reset :in std_logic;-复位信号复位信号reset定义定义q :out integer range 0 to 63);-输出信号输出信号q定义定义end entity;architecture rtl of myexam1 isbeginprocess(clk)variable cnt:integer range 0 to 63;beginif(rising_edge(clk)then-时钟时钟clk上升沿上升沿if reset=1 then-复位复位reset为高电平为高电平cnt:=0;-计数器复位计数器复位elsecnt:=cnt+1;-计数器工作计数

19、器工作end if;end if;q=cnt;-输出当前的计数值输出当前的计数值end process;end rtl;输入完成!输入完成!3.添加或删除与当前项目有关的文件添加或删除与当前项目有关的文件添加文件:添加文件:如果希望将存放在别处的文件加入到当前的设计项目中,选择菜单如果希望将存放在别处的文件加入到当前的设计项目中,选择菜单AssignmentsSettings,打开如图所示的,打开如图所示的Settings对话框。在对话框。在Settings对话框左侧的对话框左侧的Cagegory栏目下选择栏目下选择Files项,通过右边项,通过右边File Name栏的栏的“”按钮查找文件选

20、项,点击按钮查找文件选项,点击Add按钮添加文件。按钮添加文件。Add All按钮的作用是将当前目录下的所有文件添加到项目中。按钮的作用是将当前目录下的所有文件添加到项目中。删除文件:删除文件:如果希望将当前项目中的文件从项目中删除,首先选中待删除文件,如果希望将当前项目中的文件从项目中删除,首先选中待删除文件,Remove按钮按钮则被激活,点击则被激活,点击Remove按钮即可。按钮即可。其它功能设置:其它功能设置:在在Settings对话框下,除了可以进行设计项目的文件设置外,还可以进行与设对话框下,除了可以进行设计项目的文件设置外,还可以进行与设计有关的各种其它功能设置,如:库计有关的各

21、种其它功能设置,如:库Libraries、器件、器件Device、EDA工具工具EDA Tool、编译、编译Compilation、分析与综合、分析与综合Analysis&Synthesis、定时分析、定时分析Time Analysis、仿真、仿真Simulator、配、配置置 Fitting等设置。等设置。4.指定目标器件指定目标器件指定器件:指定器件:如果在建立项目时,没有指定目标器件,可以在如果在建立项目时,没有指定目标器件,可以在Settings对话框对话框Cagegory栏目下选择栏目下选择Device项,出现如图所示界面,指定设计项目使用的目标器件。项,出现如图所示界面,指定设计项

22、目使用的目标器件。选择系列:选择系列:在在Family下拉列表中选择器件系列;下拉列表中选择器件系列;选择封装等:选择封装等:在在Show in Available devices list中选择封装形式、引脚数和速度级别;中选择封装形式、引脚数和速度级别;选择目标器件:选择目标器件:在在Available devices中选择目标器件;中选择目标器件;引脚选项等:引脚选项等:点击点击Device&Pin Options按钮,出现器件和引脚选项对话框,根据设计需要进行配按钮,出现器件和引脚选项对话框,根据设计需要进行配置、编程文件、不用引脚、双用途引脚以及引脚电压等选项的详细设置。置、编程文件

23、、不用引脚、双用途引脚以及引脚电压等选项的详细设置。4.2.2 4.2.2 设计处理设计处理设计处理的功能:设计处理的功能:QuartusII设计处理的功能包括设计错误检查、逻辑综合、器件配置以及产生设计处理的功能包括设计错误检查、逻辑综合、器件配置以及产生下载编程文件等,也称作编译下载编程文件等,也称作编译Compilation。编译后生成的编程文件可以用。编译后生成的编程文件可以用QuartusII编程器或其编程器或其它工业标准的编程器对器件进行编程或配置。它工业标准的编程器对器件进行编程或配置。如何执行设计处理:如何执行设计处理:编辑设计文件后,可以直接执行编译编辑设计文件后,可以直接执

24、行编译Compilation操作,对设计进行全面的操作,对设计进行全面的设计处理。也可以分步骤执行,首先进行分析和综合处理设计处理。也可以分步骤执行,首先进行分析和综合处理Analysis&Synthesis,检查设计文件,检查设计文件有无错误,基本分析正确后,再进行项目的完整编译有无错误,基本分析正确后,再进行项目的完整编译Compilation。1.设置编译器设置编译器初学者可以跳过:初学者可以跳过:初学者选择系统默认的设置,可以跳过编译器设置。初学者选择系统默认的设置,可以跳过编译器设置。如果需要设置:如果需要设置:选择菜单选择菜单AssignmentsSettings,在,在Setti

25、ngs对话框对话框Category栏目下选择栏目下选择Compilation Process Settings项,可以设置与编译相关的内容。项,可以设置与编译相关的内容。2.执行编译执行编译编译说明:编译说明:如果一个项目中有多个文件,只要对其中一个文件进行编译处理,需要将该文件设如果一个项目中有多个文件,只要对其中一个文件进行编译处理,需要将该文件设置成顶层文件。置成顶层文件。设置顶层文件:设置顶层文件:首先打开准备编译的文件,例如,打开前面编辑的文件,执行菜单命令首先打开准备编译的文件,例如,打开前面编辑的文件,执行菜单命令Project/Set as Top-Level Entity,即

26、可。,即可。执行编译:执行编译:选择菜单选择菜单ProcessingStart Compilation或直接点击工具栏中编译按钮,开始执行编译或直接点击工具栏中编译按钮,开始执行编译操作,对设计文件全面检查。编译结束后,出现如图所示的界面并给出编译后信息。操作,对设计文件全面检查。编译结束后,出现如图所示的界面并给出编译后信息。1.1.编译快捷按钮编译快捷按钮5.5.编译总结报告编译总结报告4.4.编译报告栏编译报告栏2.2.任务窗口任务窗口3.3.信息窗口信息窗口3.锁定引脚锁定引脚什么是锁定引脚:什么是锁定引脚:将设计文件的输入输出信号分配到器件指定引脚,这是设计文件下载到将设计文件的输入

27、输出信号分配到器件指定引脚,这是设计文件下载到FPGA芯片必须完成的过程。芯片必须完成的过程。需要注意:需要注意:在锁定引脚完成之后,必须再次进行编译。在锁定引脚完成之后,必须再次进行编译。如何锁定引脚:如何锁定引脚:选择菜单选择菜单AssignmentsPins,出现,出现Assignment Editor对话框如图所示。由于设计对话框如图所示。由于设计项目已经进行过编译,因此在节点列表区会自动列出所有信号的名称,在需要锁定的节点名处,项目已经进行过编译,因此在节点列表区会自动列出所有信号的名称,在需要锁定的节点名处,双击引脚锁定区双击引脚锁定区Location,在列出的引脚号中进行选择。例

28、如,选择,在列出的引脚号中进行选择。例如,选择clk节点信号,锁定在节点信号,锁定在28号引号引脚上。重复此过程,逐个进行引脚锁定,所有引脚锁定完成后,再次编译,执行前面脚上。重复此过程,逐个进行引脚锁定,所有引脚锁定完成后,再次编译,执行前面2操作。操作。1.1.节点信号名称节点信号名称2.2.锁定引脚号锁定引脚号引脚锁定成功!引脚锁定成功!4.定时分析报告定时分析报告编译正确后,在编译报告栏选择编译正确后,在编译报告栏选择Timing Analyses可查看详细定时分析信息。可查看详细定时分析信息。定时分析报告主要内容:定时分析报告主要内容:整个系统的最高时钟频率整个系统的最高时钟频率Fm

29、ax、建立时间、建立时间Tsu、保持时间、保持时间Th、时钟、时钟到输出时间到输出时间Tco等。等。会看定时分会看定时分析报告!析报告!4.2.3 4.2.3 波形仿真波形仿真何为波形仿真:何为波形仿真:是在波形编辑器中将设计的逻辑功能用波形图的形式显示,通过查看波形图,是在波形编辑器中将设计的逻辑功能用波形图的形式显示,通过查看波形图,检查设计的逻辑功能是否符合设计要求。检查设计的逻辑功能是否符合设计要求。波形仿真的目的:波形仿真的目的:设计文件描述的逻辑功能是否能实现预期的目标,需要通过波形仿真进一步设计文件描述的逻辑功能是否能实现预期的目标,需要通过波形仿真进一步检验。波形仿真分析是验证

30、逻辑功能正确性必不可少的环节。检验。波形仿真分析是验证逻辑功能正确性必不可少的环节。波形仿真的步骤:波形仿真的步骤:新建波形文件、设置波形仿真器、插入仿真节点、编辑输入波形、运行仿真新建波形文件、设置波形仿真器、插入仿真节点、编辑输入波形、运行仿真器、检查输出波形是否符合设计要求。器、检查输出波形是否符合设计要求。1.新建波形文件新建波形文件选择菜单选择菜单FileNew.,在,在New对话框中选择对话框中选择VerficationDebugging FilesVector Waveform File,在,在出现的波形编辑窗口,显示一个空的波形文件,将文件保存为,该文件与先前编辑的文件同名,出

31、现的波形编辑窗口,显示一个空的波形文件,将文件保存为,该文件与先前编辑的文件同名,只是后缀不同。只是后缀不同。2.设置仿真器设置仿真器仿真器设置:仿真器设置:鼠标右键单击项目名选择鼠标右键单击项目名选择Settings或直接选择菜单命令或直接选择菜单命令AssignmentsSettings,在,在Settings对话框的对话框的Category栏目下选择栏目下选择Simulator Settings项,在右侧出现的对话框中设置仿真器。项,在右侧出现的对话框中设置仿真器。可以进行仿真模式、仿真文件、仿真周期等设置。可以进行仿真模式、仿真文件、仿真周期等设置。仿真模式设置:仿真模式设置:有时序仿

32、真有时序仿真Timing、功能仿真、功能仿真Functional和快速仿真和快速仿真Timing Using Fast Timing Model等三种仿真模式。时序仿真又称后仿真,是考虑器件各种延时情况下进行的仿真。功能仿真等三种仿真模式。时序仿真又称后仿真,是考虑器件各种延时情况下进行的仿真。功能仿真又称前仿真,功能仿真不考虑器件延时,理想情况下的逻辑验证。一般选择时序仿真。又称前仿真,功能仿真不考虑器件延时,理想情况下的逻辑验证。一般选择时序仿真。仿真文件设置:仿真文件设置:在仿真器设置窗口的仿真输入选项在仿真器设置窗口的仿真输入选项Simulator input栏目下,可以看到仿真文件。

33、如栏目下,可以看到仿真文件。如果没有,查找该文件,将列在果没有,查找该文件,将列在Simulator input栏目下。栏目下。仿真器设置仿真器设置功能仿真功能仿真仿真模式选项仿真模式选项3.插入仿真节点插入仿真节点在波形编辑窗口的在波形编辑窗口的Name栏目下,点击鼠标右键,选择栏目下,点击鼠标右键,选择InsertInsert Node or Bus.,出现,出现Insert Node or Bus对话框如图所示,点击对话框如图所示,点击Node Finder按钮,查找节点信息,插入节点。按钮,查找节点信息,插入节点。插入节点的过程:插入节点的过程:如图所示。首先选择合理的筛选如图所示。首

34、先选择合理的筛选Filter范围,这里给定的是范围,这里给定的是Pins:all,单击,单击List,列出所选节点信号;接着在,列出所选节点信号;接着在Nodes Found栏目下,选择波形仿真需要观察的节点信号;然栏目下,选择波形仿真需要观察的节点信号;然后单击送入选中按钮,在后单击送入选中按钮,在Selected Nodes栏目下,就会列出选中节点。全部节点选择完成后,按栏目下,就会列出选中节点。全部节点选择完成后,按ok确认,所有选中的节点信号就会出现在波形仿真的窗口中。确认,所有选中的节点信号就会出现在波形仿真的窗口中。3.选择节点选择节点2.列出所选节点列出所选节点4.送入选中按钮送

35、入选中按钮6.确认返回确认返回1.节点筛选范围节点筛选范围5.选中节点区选中节点区4.编辑输入波形编辑输入波形编辑最大仿真时间:编辑最大仿真时间:选择菜单选择菜单EditEnd Time.,根据需要修改最大仿真时间,如,根据需要修改最大仿真时间,如2s。编辑网格时间:编辑网格时间:选择菜单选择菜单EditGrid Size.,根据需要修改网格大小,如,根据需要修改网格大小,如50ns,通常用网格大小表,通常用网格大小表示信号状态的基本维持时间。示信号状态的基本维持时间。编辑输入激励信号的波形:编辑输入激励信号的波形:先选中一个输入节点,如选中时钟信号先选中一个输入节点,如选中时钟信号clk,然

36、后单击左侧赋值快捷键,然后单击左侧赋值快捷键中的时钟键,实现中的时钟键,实现clk信号的时钟输入。也可以拖动鼠标,选定信号的某个时间段进行赋值,对信号的时钟输入。也可以拖动鼠标,选定信号的某个时间段进行赋值,对reset的赋值就是采用这种方式。输入信号编辑完成后,保存该文件。的赋值就是采用这种方式。输入信号编辑完成后,保存该文件。根据设计文件编辑:根据设计文件编辑:计数器功能需要编辑两个激励信号计数器功能需要编辑两个激励信号clk和和reset,clk加入时钟信号;加入时钟信号;reset设置设置为开始阶段高电平,使计数器清零,接着为低电平,使计数器工作。为开始阶段高电平,使计数器清零,接着为

37、低电平,使计数器工作。3输入波形输入波形2仿真快捷按钮仿真快捷按钮1赋值快捷键赋值快捷键5.运行仿真器运行仿真器运行仿真器:运行仿真器:选择菜单选择菜单ProcessingStart Simulation或点击仿真快捷按钮运行仿真器,仿真波形窗或点击仿真快捷按钮运行仿真器,仿真波形窗口将给出对应输入波形的输出波形,最下方的信息窗口显示仿真操作的相关信息。口将给出对应输入波形的输出波形,最下方的信息窗口显示仿真操作的相关信息。如果仿真波形与逻辑设计不符:如果仿真波形与逻辑设计不符:检查设计文件对计数器的功能描述是否正确,如果修改设计文件,检查设计文件对计数器的功能描述是否正确,如果修改设计文件,

38、需要重新编译,再次进行波形仿真,直至达到设计要求。需要重新编译,再次进行波形仿真,直至达到设计要求。文件的仿真波形如图所示:文件的仿真波形如图所示:从仿真波形可以看出,这是一个带有高有效复位端从仿真波形可以看出,这是一个带有高有效复位端reset、上升沿触发、上升沿触发的的6位二进制加法计数器,与文件描述的逻辑功能一致。位二进制加法计数器,与文件描述的逻辑功能一致。仿真成功!仿真成功!4.2.4 4.2.4 器件编程器件编程何为器件编程:何为器件编程:编译和波形仿真正确后,编译和波形仿真正确后,QuartusII将生成编程数据文件,如将生成编程数据文件,如.pof和和.sof等编程数等编程数据

39、文件,通过下载电缆将编程文件下载到预先选择的据文件,通过下载电缆将编程文件下载到预先选择的FPGA芯片中。下载成功后,该芯片中。下载成功后,该FPGA芯片芯片就会执行设计文件描述的功能。就会执行设计文件描述的功能。器件编程步骤:器件编程步骤:编程硬件连接、编程文件的产生、运行编程操作。器件编程操作成功后,查看编程硬件连接、编程文件的产生、运行编程操作。器件编程操作成功后,查看FPGA功能与设计文件描述的功能是否一致。功能与设计文件描述的功能是否一致。1.编程硬件连接编程硬件连接下载电缆的连接:下载电缆的连接:在进行编程操作之前,首先将下载电缆的一端与在进行编程操作之前,首先将下载电缆的一端与P

40、C机对应的端口进行相连,机对应的端口进行相连,下载电缆的另一端与编程器件相连,下载电缆连接好后才能进行编程器的操作。下载电缆的另一端与编程器件相连,下载电缆连接好后才能进行编程器的操作。与与PC机的哪个端口连接:机的哪个端口连接:编程电缆不同,与编程电缆不同,与PC机连接的端口就不同。机连接的端口就不同。使用使用MasterBlaster下载电缆编程,将下载电缆编程,将MasterBlaster电缆连接到电缆连接到PC机的机的RS-232串口。串口。使用使用ByteBlasterMV下载电缆,将下载电缆,将ByteBlasterMV电缆连接到电缆连接到PC机的并口。机的并口。使用使用USB B

41、laster下载电缆,将下载电缆,将USB Blaster电缆连接到电缆连接到PC机的机的USB口。口。2.编程操作编程操作选择菜单选择菜单ToolsProgrammer或点击工具栏中编程快捷按钮,打开编程窗口如图所示。读者需要或点击工具栏中编程快捷按钮,打开编程窗口如图所示。读者需要根据自己的实验设备情况,进行器件编程的设置。根据自己的实验设备情况,进行器件编程的设置。3 3添加配置文件添加配置文件4 4编程按钮编程按钮1 1选择下载电缆选择下载电缆0 0编程按钮编程按钮2 2选择配置模式选择配置模式5 5进度表显示进度表显示下载进程下载进程作者根据自己的实验设备,进行设置的情况如下:作者根

42、据自己的实验设备,进行设置的情况如下:(1)下载电缆)下载电缆Hardware Setup设置:设置:USB Blaster。(2)配置模式)配置模式Mode设置:设置:JTAG模式。模式。(3)配置文件:)配置文件:自动给出当前项目的配置文件。如果需要自己添加配置文件,则单击自动给出当前项目的配置文件。如果需要自己添加配置文件,则单击Add File添加配置文件。添加配置文件。(4)执行编程操作:)执行编程操作:单击编程按钮单击编程按钮Start,开始对器件进行编程。编程过程中进度表显示下载进,开始对器件进行编程。编程过程中进度表显示下载进程,信息窗口显示下载过程中的警告和错误信息。程,信息

43、窗口显示下载过程中的警告和错误信息。(5)实际检验:)实际检验:器件编程结束后,在实验设备上实际查看器件编程结束后,在实验设备上实际查看FPGA芯片作为计数器的工作情况,芯片作为计数器的工作情况,可以加入可以加入1kHz的时钟信号,用示波器观察各输出引脚波形。或者给计数器加入频率为的时钟信号,用示波器观察各输出引脚波形。或者给计数器加入频率为1Hz的时的时钟信号,输出引脚连接发光二极管,观察输出数据的变化。如果计数器输出工作正常,说明读钟信号,输出引脚连接发光二极管,观察输出数据的变化。如果计数器输出工作正常,说明读者已经基本学会了者已经基本学会了FPGA的开发流程以及的开发流程以及Quart

44、usII的使用。的使用。配置成功!配置成功!3.其它编程文件的产生其它编程文件的产生QuartusII在编译过程中会自动产生编程文件,如在编译过程中会自动产生编程文件,如.pof和和.sof文件。但对于其它格式的文件,如文件。但对于其它格式的文件,如二进制格式的二进制格式的.rbf配置数据文件,需要专门进行设置才能产生。配置数据文件,需要专门进行设置才能产生。产生产生.rbf文件过程如下:文件过程如下:选择菜单选择菜单FileConvertProgramm Files,出现对话框。首先,在对话,出现对话框。首先,在对话框的框的Output Promramming file列表中选择列表中选择R

45、aw Binary(.rbf)。然后,点击。然后,点击Input files to convert栏中的栏中的SOF Data,此时,此时Add File按钮被激活,点击按钮被激活,点击Add File按钮,添加输入数据文件,点击按钮,添加输入数据文件,点击OK即可产生即可产生.rbf文件。查找设计项目目录,可以找到文件。文件。查找设计项目目录,可以找到文件。添加添加.sof.sof文件文件4.3 原理图文件输入原理图文件:原理图文件:原理图是设计人员最为熟悉的电路描述方法,原理图是设计人员最为熟悉的电路描述方法,QuartusII提供了图形编辑器,通过提供了图形编辑器,通过图形编辑器可以编辑

46、图形和图表模块,画出熟悉的原理图,产生原理图文件(图形编辑器可以编辑图形和图表模块,画出熟悉的原理图,产生原理图文件(.bdf)。)。原理图文件处理:原理图文件处理:原理图文件产生后,仍然需要进行设计处理、波形仿真、器件编程,这些操原理图文件产生后,仍然需要进行设计处理、波形仿真、器件编程,这些操作与前面介绍的过程基本相同,这里不再重复介绍。作与前面介绍的过程基本相同,这里不再重复介绍。符号库:符号库:为简化原理图的设计过程,为简化原理图的设计过程,QuartusII建立了常用的符号库,在库中提供了各种逻辑功建立了常用的符号库,在库中提供了各种逻辑功能的符号,包括宏功能(能的符号,包括宏功能(

47、Macrofunction)符号和图元()符号和图元(Primitive)等,供设计人员直接调用。)等,供设计人员直接调用。编辑原理图文件的步骤:编辑原理图文件的步骤:建立原理图文件、输入符号、连接符号等。建立原理图文件、输入符号、连接符号等。1.建立原理图文件建立原理图文件选择菜单选择菜单FileNew,在出现的对话框中选择,在出现的对话框中选择Design FilesBlock DiagramSchematic File,则打,则打开图形编辑器,出现空白的原理图文件,如图所示。选择菜单开图形编辑器,出现空白的原理图文件,如图所示。选择菜单FileSave as,输入文件名,保,输入文件名,

48、保存该文件。存该文件。2.使用模块符号库使用模块符号库图形编辑器的左侧是输入按钮,最常用的是模块符号按钮,单击模块符号按钮,打开图形编辑器的左侧是输入按钮,最常用的是模块符号按钮,单击模块符号按钮,打开symbol对话对话框,如图所示。在对话框下,可以选择各种逻辑电路符号,方便绘制原理图时使用。框,如图所示。在对话框下,可以选择各种逻辑电路符号,方便绘制原理图时使用。QuartusII已经预先存放了设计中常用的电路模块符号,在进行原理图设计输入时可以随时调用。已经预先存放了设计中常用的电路模块符号,在进行原理图设计输入时可以随时调用。QuartusII在安装目录在安装目录c:Altera/80

49、/quartus/libraries/下,设有三个子目录分别存放了三个库。下,设有三个子目录分别存放了三个库。直角节点直角节点直角总线直角总线直角管道直角管道图表模块图表模块模块符号模块符号(1)megafunctions(宏功能模块)(宏功能模块)宏功能模块是参数化的模块,模块的各个参数由设计者为满足设计要求自行定制,只要修改模宏功能模块是参数化的模块,模块的各个参数由设计者为满足设计要求自行定制,只要修改模块参数,就可以得到满足需要的特定模块。宏功能模块设有:算术运算模块块参数,就可以得到满足需要的特定模块。宏功能模块设有:算术运算模块arithmetic、门单、门单元模块元模块gates

50、、I/O模块模块IO和存储器模块和存储器模块storage。(2)others(其它模块)(其它模块)这是一个与这是一个与maxplux2兼容的模块库,包含兼容的模块库,包含74系列的器件符号和各种组合电路模块符号,在模块系列的器件符号和各种组合电路模块符号,在模块编辑器中可以查看符号内部的电路结构。例如,输入二选一数据选择器符号编辑器中可以查看符号内部的电路结构。例如,输入二选一数据选择器符号21mux,在模块编,在模块编辑器中双击该符号,就会出现辑器中双击该符号,就会出现21mux的内部电路结构和说明。的内部电路结构和说明。(3)primitves(图元)(图元)图元主要包括以下几种功能模

51、块:图元主要包括以下几种功能模块:buffer(缓冲器)、(缓冲器)、logic(基本逻辑符号)、(基本逻辑符号)、pin(引脚符(引脚符号)、号)、storage(触发器)和(触发器)和other(其它功能模块)。(其它功能模块)。其中最重要的就是其中最重要的就是pin目录下的输入引脚目录下的输入引脚input和输出引脚和输出引脚output,这两个引脚是任何原理图文件都要用到的引脚符号。,这两个引脚是任何原理图文件都要用到的引脚符号。(4)自己创建模块符号)自己创建模块符号设计过程中,创建符号是经常用到的功能之一。读者可以为设计项目创建专门为自己使用的模设计过程中,创建符号是经常用到的功能

52、之一。读者可以为设计项目创建专门为自己使用的模块符号,方便在原理图编辑中多次调用。块符号,方便在原理图编辑中多次调用。自己创建模块符号步骤:自己创建模块符号步骤:首先打开已编译成功的文件,例如打开文件。然后选择菜单首先打开已编译成功的文件,例如打开文件。然后选择菜单FileCreate UpdateCreate Symbol Files for current File,即可完成当前文件符号的创建。,即可完成当前文件符号的创建。查看自己创建的模块符号:查看自己创建的模块符号:打开打开Symbol对话框,可以看到对话框,可以看到Libraries栏目的栏目的Project文件夹中包含文件夹中包含

53、了用户创建的模块符号了用户创建的模块符号myexam1,如图所示。,如图所示。调用自己创建的模块符号:调用自己创建的模块符号:与调用其他模块符号的方法一样。与调用其他模块符号的方法一样。3.模块符号输入模块符号输入输入符号的过程都是一样的,这里以输入自己创建的模块符号输入符号的过程都是一样的,这里以输入自己创建的模块符号myexam1为例,其过程如下:为例,其过程如下:(1)在图形编辑器窗口下,选择菜单)在图形编辑器窗口下,选择菜单EditInsert Symbol或点击工具栏中模块符号图标,也或点击工具栏中模块符号图标,也可以直接在编辑器空白处双击鼠标左键,出现可以直接在编辑器空白处双击鼠标

54、左键,出现Symbol对话框。对话框。(2)在)在Symbol对话框的对话框的Project中,可以看到自己创建好的模块符号中,可以看到自己创建好的模块符号myexam1,在,在Symbol对话对话框右侧出现该符号。如果扩展框右侧出现该符号。如果扩展quartuslibraries中的文件夹,可以选择中的文件夹,可以选择QuartusII提供的各类模提供的各类模块符号。也可以直接在块符号。也可以直接在Name栏中输入关键字,选择符号。栏中输入关键字,选择符号。(3)点击)点击OK按钮,在图形编辑器中点击鼠标左键,插入模块符号按钮,在图形编辑器中点击鼠标左键,插入模块符号myexam1。(4)重

55、复以上三步,可输入其它逻辑符号。右键选中符号,拖动鼠标,可复制并连续输入符)重复以上三步,可输入其它逻辑符号。右键选中符号,拖动鼠标,可复制并连续输入符号。删除符号时,选中符号,按键盘号。删除符号时,选中符号,按键盘Delete键即可。键即可。Symbol对话框左下角的三个复选框表示输入符号的不同方式:连续输入符号(对话框左下角的三个复选框表示输入符号的不同方式:连续输入符号(Repeat-insert Mode)、以图表模块形式输入符号()、以图表模块形式输入符号(Insert Symbol As Block)、打开宏模块导航)、打开宏模块导航MegaWizard Plug-In Manag

56、er(Launch MegaWizard Plug-In)。)。4.引脚输入引脚输入注意:注意:原理图的输入输出必须连接相应的引脚,并对引脚进行命名才能使用。原理图的输入输出必须连接相应的引脚,并对引脚进行命名才能使用。引脚输入:引脚输入:扩展扩展Symbol符号库符号库quartuslibraries中的文件夹中的文件夹PrimitivesPin。选择引脚类型:选择引脚类型:bidir(双向引脚)、(双向引脚)、input(输入引脚)、(输入引脚)、output(输入引脚)。(输入引脚)。引脚命名步骤:引脚命名步骤:鼠标右键单击引脚符号,打开鼠标右键单击引脚符号,打开Properties属性

57、对话框,在属性对话框,在General栏的栏的Pin name(s)中填写自定义的引脚名称。或者用鼠标指向引脚符号的中填写自定义的引脚名称。或者用鼠标指向引脚符号的pin_name,双击鼠标,键入引,双击鼠标,键入引脚名称,按回车键,自动指向下一个引脚的脚名称,按回车键,自动指向下一个引脚的pin_name 处,继续对下一个引脚命名。处,继续对下一个引脚命名。例如:例如:myexam1需要连接的引脚类型有两个需要连接的引脚类型有两个input 和一个和一个output。5.符号连接符号连接符号连接工具:符号连接工具:在在QuartusII的模块编辑器中用的模块编辑器中用“智能智能”连接工具(节

58、点、总线和管道工具)连连接工具(节点、总线和管道工具)连接模块和符号。管道用于连接图表模块,代表进出模块的一个或多个接模块和符号。管道用于连接图表模块,代表进出模块的一个或多个I/O端口信号的总线组。端口信号的总线组。符号连接方法:符号连接方法:鼠标位于符号的引脚边沿处,鼠标箭头指针自动变成十字形画线指针,按住左鼠标位于符号的引脚边沿处,鼠标箭头指针自动变成十字形画线指针,按住左键托动鼠标至连接结束点放开,形成符号之间的连接。如果连接线名称一致,如键托动鼠标至连接结束点放开,形成符号之间的连接。如果连接线名称一致,如q5.0,可以,可以不用连线,逻辑上这两处连接线是连接在一起的,如图所示。不用

59、连线,逻辑上这两处连接线是连接在一起的,如图所示。连接命名方法:连接命名方法:右键单击该连接线,选择右键单击该连接线,选择Properties,在,在Node Properties对话框对话框General中输入中输入连线名连线名q5.0,点击确定按钮。,点击确定按钮。修改连接方式:修改连接方式:右键单击该连接线,有节点右键单击该连接线,有节点node line、总线、总线bus line或管道或管道conduit line三种方式。三种方式。例如:图所示的例如:图所示的q5.0选择的是总线选择的是总线bus line方式。方式。clkresetq5.0myexam1instVCCclkINP

60、UTVCCresetINPUTq5.0q5.0qout5.0OUTPUTPIN_28模块调用成功!模块调用成功!6.原理图文件编辑原理图文件编辑保存原理图文件,执行菜单命令保存原理图文件,执行菜单命令project/set as top-level entity,将当前编辑的文件设为顶层实,将当前编辑的文件设为顶层实体文件,就可以对其进行编译、仿真和其它操作。编译和波形仿真操作与前一节介绍的过程体文件,就可以对其进行编译、仿真和其它操作。编译和波形仿真操作与前一节介绍的过程完全一致。完全一致。会用原理图会用原理图编辑器啦!编辑器啦!4.4 参数化模块化库的使用参数化模块库有什么:参数化模块库有

61、什么:参数化模块库参数化模块库LPM(Library of Parameterized Modules)中包含许多已)中包含许多已经设计好的功能模块,设计者根据需要调用这些模块。经设计好的功能模块,设计者根据需要调用这些模块。参数化模块库优势:参数化模块库优势:设计者根据需要定义或修改模块参数。通过修改参数确定模块功能,以满设计者根据需要定义或修改模块参数。通过修改参数确定模块功能,以满足设计者不同场合的需要,大大提高设计效率。足设计者不同场合的需要,大大提高设计效率。举例:举例:下面以下面以648ROM的设计为例,说明的设计为例,说明LPM的使用步骤。的使用步骤。1.ROM设计举例设计举例(

62、1)在图形编辑窗口下,选择菜单)在图形编辑窗口下,选择菜单EditInsert Symbol或点击工具栏中模块符号图标,在或点击工具栏中模块符号图标,在Symbol对话框中点击对话框中点击Symbol as block按钮。也可以选择菜单按钮。也可以选择菜单ToolMegaWizard Plug-In Manager,在出现的,在出现的MegaWizard Plug-In Manager第一页,如图所示,选中第一页,如图所示,选中Create a new custom anager,点击,点击Next按钮。按钮。图中对话框的三个选项内容如下:图中对话框的三个选项内容如下:Create a ne

63、w custom megafunction variation:创建新的宏功能函数;:创建新的宏功能函数;Edit an existing custom megafunction:编辑已有的宏功能函数;:编辑已有的宏功能函数;Copy an existing custom megafunction variation:复制已有的宏功能函数。:复制已有的宏功能函数。(2)第二页如图所示。对话框右侧分别显示用户使用的目标器件,待生成文件的类型,这里)第二页如图所示。对话框右侧分别显示用户使用的目标器件,待生成文件的类型,这里选择选择VHDL,输入文件目录和文件名。对话框左侧是可以直接生成宏功能模块

64、的目录。这里,输入文件目录和文件名。对话框左侧是可以直接生成宏功能模块的目录。这里选择选择Memory Compiler中中ROM 1-PORT模块,点击模块,点击Next按钮。按钮。(3)第三页如图所示。系统)第三页如图所示。系统ROM数据宽度默认数据宽度默认8,存储数据量默认,存储数据量默认64。根据设计要求将。根据设计要求将ROM数据宽度设为数据宽度设为8、存储数据量设为、存储数据量设为64。(4)第四页如图所示。选择地址和输出数据是否需要寄存,系统默认需要寄存,这里选择地址)第四页如图所示。选择地址和输出数据是否需要寄存,系统默认需要寄存,这里选择地址需要寄存,输出数据不寄存。当选择不

65、寄存输出数据时,时钟信号需要寄存,输出数据不寄存。当选择不寄存输出数据时,时钟信号clock就不会再连接至输出端。就不会再连接至输出端。如果设计需要,还可以进行时钟使能端、寄存器的复位端设置。如果设计需要,还可以进行时钟使能端、寄存器的复位端设置。(5)第五页如图所示。指明初始化)第五页如图所示。指明初始化ROM所使用的数据文件名。所使用的数据文件名。(6)第六页,给出)第六页,给出648ROM设计产生的各类文件说明,点击设计产生的各类文件说明,点击Finish,完成,完成ROM的设计。在图的设计。在图形编辑窗口下,出现形编辑窗口下,出现myrom1的图形如图所示,可以向调用其它元器件一样方便

66、连接使用。的图形如图所示,可以向调用其它元器件一样方便连接使用。8 bits64 wordsBlock type:AUTOaddress5.0clockq7.0myrom1inst32.建立建立ROM初始化文件初始化文件初始化初始化ROM数据文件格式:数据文件格式:有有.mif(Memory Initialization File)格式和)格式和.HEX格式,这里使用的格式,这里使用的是是.mif文件格式。文件格式。初始化初始化ROM数据文件编辑:数据文件编辑:可以用文本编辑器编辑可以用文本编辑器编辑ROM数据文件,也可以用数据文件,也可以用QuartusII软件自带软件自带的工具编辑产生的工具编辑产生ROM数据文件。数据文件。(1)QuartusII软件产生软件产生ROM数据文件数据文件步骤:步骤:选择菜单选择菜单FileNew,在,在New对话框中选择对话框中选择Memory Initialization File,打开,打开.mif文件输入界文件输入界面,直接输入存储器需要存储的数据,如图所示,这里输入的是一组正弦波数据,数据文件保存面,直接输入存储器需要存储的数据,如图所示,这

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!