互连与互连优化

上传人:痛*** 文档编号:178043997 上传时间:2022-12-27 格式:PPT 页数:113 大小:2.15MB
收藏 版权申诉 举报 下载
互连与互连优化_第1页
第1页 / 共113页
互连与互连优化_第2页
第2页 / 共113页
互连与互连优化_第3页
第3页 / 共113页
资源描述:

《互连与互连优化》由会员分享,可在线阅读,更多相关《互连与互连优化(113页珍藏版)》请在装配图网上搜索。

1、第14章互连Coping with Internection集成电路设计系列庄奕琪 主讲本章概要本章概要概述互连电阻互连电容互连电感互连延时模型 互连按比例缩小 互连延时优化 串扰 布局布线 片上网络本章参考书Jan M.Rabaey et al.,Digital Integrated Circuit:A Design Perspective,2rd Edition,Anantha Chandrakasan,Borivoje Nikolic,2003.Chapters 3&9。中译本本:周润德等译,数字集成电路-电路、系统与设计,电子工业出版社,2004.10。第3章和第9章。John P.U

2、yemura,Introduction to VLSI Circuits and Systems,John Wiley&Sons,Inc.,2002.Chapters 14.中译本:周润德译,超大规模集成电路与系统导论,电子工业出版社,2004.1。第14章。14.1 概述 现代IC中的互连铝互连(0.25m工艺,四层)铜互连(0.25m工艺,七层)14.1 概述 互连的发展趋势14.1 概述 互连线transmittersreceivers电路图实际视图14.1 概述 互连的寄生参数串联电阻自身电感对地电容线间电容寄生电阻寄生电容寄生电感14.1 概述 分析简化条件考虑了导线的大部分寄生参数

3、只考虑电容的模型 若导线的电阻很大,可以不考虑电感 若导线的电阻较小且较短,可以只考虑电容 若导线的电阻很小且较长,则需考虑电感 若导线的平均间距很大,可以不考虑线间电容14.1 概述 互连尺寸变化的影响互连延迟互连电容串扰层间电场耦合层间介质厚度电迁移短路概率线间串扰线间距电迁移开路概率,互连功耗互连延迟互连电阻线长线厚线宽HSLTW14.1 概述 互连对延迟的贡献wtlAlRline线长线厚电阻率(1/)线宽纵向参数由工艺决定:t,()横向参数由版图决定:l,wRline越小,允许通过互连线的电流越大,互连延迟越小14.2 互连电阻 如何计算互连电阻?nRwlRRtRSSlineS线电阻薄

4、层电阻薄层电阻方块数与版图尺寸无关14.2 互连电阻 薄层电阻14.2 互连电阻 常用导体的电阻率与薄层电阻电阻率(可能用于互连的金属材料)薄层电阻(传统工艺中可用作互连的材料)不同粗细带拐角不同工艺层14.2 互连电阻 电阻计算实例14.2 互连电阻 接触电阻接触电阻接触电阻互连与硅及多晶之间的接触(有源接触孔)不同互连层之间的接触(通孔)降低接触电阻的途径降低接触电阻的途径信号线尽量保持在同一层上增大接触孔,但效果不明显(因电流集聚效应使电流集中在接触孔的周边)增多接触孔0.25m CMOS工艺接触电阻典型值工艺接触电阻典型值有源接触孔520通孔15 14.2 互连电阻 实例:Intel

5、0.25m工艺5 metal layersTi/Al-Cu/Ti/TiNPolysilicon dielectric14.2 互连电阻 趋肤效应趋肤效应:在非常高的频率下,电流主要在导体的表面流动,其电流密度随进入导体的深度而呈指数下降趋肤深度:电流下降到其额定值的1/e时所处的深度临界频率:趋肤深度达到导体最大尺寸(W或H)的1/2时的频率ffs时导体单位长度电阻导体的电阻率周围电介质的介电常数信号的频率铝在1GHz下的=2.6m14.2 互连电阻 铝导线的趋肤效应衬底为SiO214.3 互连电容 互连系统中的电容fringingparallel导线对衬底的电容底面边缘导线之间的电容同层异层

6、第2层互连第1层互连14.3 互连电容 互连电容的影响因素互连线的形状与尺寸互连线与衬底的距离互连线周围的介质互连线与周围导线的距离14.3 互连电容 互连与衬底间的电容VDDVDDVinVoutM1M2M3M4Cdb2Cdb1Cgd12CwCg4Cg3Vout2FanoutInterconnectVoutVinCLSimplifiedModel 互连与衬底间的电容成为电路负载电容的一部分)(HWTWLCoxoxline若互连线与衬底的电容绝缘介质的介电常数14.3 互连电容 不考虑边缘效应的电容计算电介质衬底LWHTox电场线电流氧化层厚度14.3 互连电容 考虑边缘效应的电容计算:模型1W

7、-H/2H+(a)(b)1/2log(2)2/(HTTHWCCCoxoxoxoxfringeppline单位长度互连电容ppCfringeCppC底面电容fringeC边缘电容,经验公式,简单模型222.08.215.1oxoxoxoxoxlineTwTwTwCm)225(m),24.1fF40(fF28.4cm/pF07.1m)225(85.2m),140(29.2cm/5710.020.9.m7.0m35.01MetalllCcllRrRTtwlinelineSox求得:,条件:实例 边缘效应影响项 侧面效应影响项14.3 互连电容 考虑边缘效应的电容计算:模型2ditW/14.3 互连电

8、容 电容随线宽/介质厚度比的变化介质为SiO2tdi为介质厚度平板电容总电容导线厚度/介质厚度比导线宽度/介质厚度比14.3 互连电容 电容与尺寸的关系第1层铝第2层铝第3层铝第4层铝第5层铝14.3 互连电容 实例:0.25mCMOS工艺(1)边缘电容平面电容下极板上极板电容平均值(平面电容aF/m2,边缘电容aF/m)14.3 互连电容 实例:0.25mCMOS工艺(2)最小间距下单位长度线间电容的平均值(aF/m)位于第1层铝上的10cm长、1m宽的导线:平面电容3pF边缘电容8pF总的对地电容11pF最小间距下的线间电容9.5pF14.4 互连电感 电感的来源dtdiLtvdtdiL)

9、(引起电压变化通过导线的电流随时间导线具有电感14.4 互连电感 电感的估算与作用电感的估算电感的估算当周边电介质的介电常数为、导磁率为时,一条导线单位长度的电容c和电感l满足以下关系电感对电路性能的影响电感对电路性能的影响振荡与过冲效应阻抗失配引起的信号反射导线间的电感耦合Ldi/dt引起的开关噪声何时需考虑电感何时需考虑电感很长的互连线极高的频率:1GHz低电阻率的互连材料;如Cucl互连线的信号会被延迟的时间。对于高速电路,有可能f1/(即T临界长度导线输入信号的上升(下降)时间1)缩小延时不变延时不变长度不变:长度不缩小,其它尺寸按同样比例(S1)缩小延时延时加长加长全局导线:长度按比

10、例(Sc1)缩小延时大大加长延时大大加长,如时钟信号及数据与指令总线全局导线的延时实际上按每年约50的速率增加(S=1.15,Sc=0.94)14.6 互连延时优化 恒电阻按比例缩小 导线厚度H不变,导线宽度及节距导线电阻不变,但水平方向的电容(边缘及线间)。为抑制此效应,引入电容缩小因子c(1,但S),适当减少因尺寸缩小导致的C的增加,但对长线效果不显著14.7 互连延时优化 采用低电阻率的互连导体采用Cu导体取代Al导体电阻率下降1.6倍降低R常见导体的电阻率14.7 互连延时优化 采用低介电常数的互连介质采用低介电常数介质取代SiO2降低C常见介质的介电常数rox0相对介电常数真空介电常

11、数介电常数材料r真空(Free space)1气凝胶(Aerogels)1.4聚酰亚胺(Polyimides)3-4二氧化硅(SiO2)3.9玻璃环氧树脂(Glass epoxy,印制板)5氮化硅(Si3N4)7.5氧化铝(Alumina,封装)9.5硅(Silicon)11.7降低互连介质介电常数的好处延迟串扰功耗低介电常数介质材料的类型inorganic(SiO2)organic(Polyimides)aerogels(ultra low-k)工艺尺寸0.25 m0.18 m0.13 m0.1 m0.07 m0.05 m介电常数3.32.72.32.01.81.514.7 互连延时优化 采

12、用低介电常数的互连介质(续)14.7 互连延时优化 采用过渡金属硅化物n+n+SiO2Poly(良好的附着力与覆盖性)Silicide(高导电性)p硅化物(Silicide)硅与一种难熔金属形成的合成多晶材料导电性好(电导率比多晶大810倍),在高温工艺中不会熔化常用的有WSi2、TiSi2、PtSi2和TaSi用于降低多晶接触电阻14.7 互连延时优化 增加互连层的数量Minimum Spacing(Relative)0.00.51.01.52.02.53.03.54.01.00.80.60.350.25M5M4M3M2M1PolyMinimum Widths(Relative)0.00.5

13、1.01.52.02.53.03.51.00.80.60.350.25M5M4M3M2M1Poly必要性芯片尺寸的减少及晶体管数的增加需要更多、更长的导线更多的互连层有助于减少导线的长度局部导线置于较低的互连层密集,较薄重在提高集成密度和降低电容全局导线置于较高的互连层宽厚,间距较大重在降低导线电阻14.7 互连延时优化 分层优化14.7 互连延时优化 地址线对策(1)存储阵列的地址线接有大量晶体管的多晶栅线长(电阻大),负载重(电容大)降低地址线延时的方法方案1:全部采用多晶线,无多晶与金属接触占用的面积存储密度大,但多晶电阻较大传播延时长方案2:同时从线的两端驱动地址线,可使最坏情形的延时

14、减少到原来的1/4DriverPolysilicon word lineMetal word lineWLDriver降低地址线延时的方法(续)方案3:采用旁路金属线,每隔k个存储单元与多晶连接1次延时(k/2)2,主要取决于每个单元的多晶长度k越小,降低延时的效果越好,但集成密度越低若在1024个单元地址线中,每隔16个单元与旁路线连接1次,可使延时减少约4000倍Polysilicon word lineMetal bypassWord Line K cells14.7 互连延时优化 地址线对策(2)yxdestinationManhattan方式source对角线方式 容易实现自动布线及

15、掩膜制造节省线长20,面积15,通孔30延迟,功耗,集成密度部分采用45布线的版图实例14.7 互连延时优化 走线方式14.7 互连延时优化 插入中继器:作用多级门链:插入中间缓冲器可使总的传播延时长互连线:插入中间缓冲器可使总的互连延时 中继器将总电阻为R、总电容为C的导线分为m段,每段之间插入1个中继器 假定中继器的延时与连线电容无关,则各个中继器可采用同样尺寸,有同样大的延迟。插入中继器的最优数目使各导线段的延时=中继器延时,可得到导线的最小延时14.7 互连延时优化 插入中继器:简单设计 单位长度导线的电阻、电容 每个中继器的延时 未接中继器时的导线延时 导线总长度 实际上中继器的延时

16、与连线电容有关,最优延时下各个中继器的尺寸不同14.7 互连延时优化 插入中继器:精确设计(1)最小尺寸中继器的电阻 最小尺寸中继器的电容 中继器的尺寸系数 中继器的本征输出电容/输入电容 导线的单位长度电阻、电容 导线的总延时 最优中继器数目 最优中继器尺寸系数 导线的总长度0mtp0stp)/11()1(69.0101pddptCRt的反相器延时扇出为 中继器的数目 未接中继器时的导线延时 最短导线总延时 L导线长度 导线段的最优长度 导线段的最短延时14.7 互连延时优化 插入中继器:精确设计(2)当导线长度至少为2Lcrit时,插入中继器才有意义 与布线层无关14.7 互连延时优化 插

17、入中继器:实例导线类型导线长度导线宽度中继器数目中继器延时tp(未加中继器)tp(加中继器并优化)AI110cm1m180.1ns31.4ns3.5nsPoly10cm1m10580.1ns112us212nsAI510cm1m60.1ns4.2us1.3ns简单设计:导线类型导线长度导线宽度中继器数目中继器尺寸系数临界长度tp(未加中继器)tp(加中继器并优化)AI110cm1m31623.2mm 31.4ns3.9ns精确设计:0.25mCMOS工艺,tp1=32.5ps,Rd=7.8k,Cd=3fF,c=110aF/m,r=0.075/m14.7 互连延时优化 导线流水线任意时刻导线可同

18、时处理k个信号提高导线数据处理能力一个信号通过整条导线需k个时钟周期通过各导线段的延时并未缩短 寄存器将总电阻为R、总电容为C的导线分为k段,每段之间插入1个时钟控制寄存器 时钟信号 导线段缩短延时的途径降低负载电容CL提高驱动电流Iav降低电压摆幅Vswing 降低电压摆幅的作用缩短了延时减少了动态功耗降低了噪声容限降低电源电压的作用电压摆幅,驱动电流,二者变化比例相当对延时几乎无作用14.7 互连延时优化 电压摆幅对延时的影响avsewingLCvvLpIVCdvvivCtL常数若传播延时21)()(14.7 互连延时优化 降摆幅电路降低摆幅长互连线恢复摆幅额外面积相对值,稳定,但占用差分

19、双端:控制电压的值,简单单端:控制电压的绝对控制方式动态电路静态电路电路形式降摆幅电路14.7 互连延时优化 单端静态降摆幅电路:双电源CLVDDLVDDVDDdriverreceiverVDDLVDDLInOutOut 电压摆幅VDDLVDD 电压摆幅VDD缺点:需要两个电源电压VDD和VDDL,摆幅低时速度太慢14.7 互连延时优化 单端静态降摆幅电路:单电源 电压摆幅|VTp|VDD-VTn 电压摆幅0VDD电平转换器隔离器电平恢复器优点:只需要1个电源电压;抗干扰能力强缺点:电压摆幅降低值取决于阈值电压,受工艺、体效应等影响波动大;面积大14.7 互连延时优化 差分静态降摆幅电路驱动器

20、接收器(钟控差分触发器)互补低摆幅信号优点对共模噪声信号(如电源线噪声、串扰)有很高的抑制能力摆幅可以降得很低(如200mV)缺点导线及控制门数量加倍需增加额外的时钟控制信号VbusVasymVsym246time(ns)8101200.511.522.5014.7 互连延时优化 动态降摆幅电路:实例1In2.In1.M2M1M3M4CbusCoutBusOutVDDVDD)V(V=0时,总线通过足够大的上拉管M2预充电Vbus迅速上升至VDD=1时,总线通过非常小的下拉管如M1放电Vbus缓慢下降 M4与M3对称(M3尺寸约为M4的1/2,tpHL=tpLH)反相器开关阈值VM=总线最小摆幅

21、=0.5VDD摆幅低,但延时长(7.2ns)M4与M3非对称(M3尺寸约为M4的7.5倍,tpHL0.5VDD摆幅高,但延时短(4.7ns)14.7 互连延时优化 动态降摆幅电路:实例2控制预充电时间控制互连线上的电压检测互连线上的电压与参考端REF电压(一般为VDD/2)进行比较优点:互连线的电压摆幅可以通过调整脉冲EN宽度进行精确控制缺点:EN宽度与互连线摆幅的关系与负载电容CL有关,驱动器不工作时互连线浮空差分放大器14.7 互连延时优化 电流模式传输电压模式传输系统输入:电压VDD代表逻辑1,电压0代表逻辑0,传输信号摆幅VDD输出:将互连线电压与开关阈值(VDD/2)进行比较缺点:电

22、源噪声对输入信号和开关阈值均有影响,电压摆幅不能过低优点:电源噪声作为共模干扰对信号路径无影响,可在很低的噪声容限下工作,电压摆幅可以很低(如100mV)缺点:静态功耗较大电流模式传输系统输入:注入电流Iin代表逻辑1,注入电流-Iin代表逻辑0,传输电压波2IinZ0输出:用差分放大器检测在终端电阻RT上的电压14.8 传输线效应 什么是传输线效应?深亚微米工艺缩短门延时,铜互连降低导线电阻电路开关速度足够快,互连电阻比较低导线电感支配互连延迟特性信号的上升/下降时间信号波形传播过导线的时间分布rc线分布lc线传输线效应14.8 传输线效应 传输线模型g0i0r0完整传输线考虑r、c、l、g

23、适用于各种情形有损传输线考虑r、c、l适用于Al基芯片上导线无损传输线考虑c、l适用于Cu基芯片上导线及PCB导线导线单位长度的电阻r、电容c、电感l导线周边介质的漏导g(对大多数绝缘材料g0)电压v、电流i,时间t、空间坐标x电磁波通过介质的传播速度符号定义14.8 传输线效应 无损传输线阶跃输入信号沿线的传播速度传输线单位长度的传播延时波传播dx距离需对电容cdx充电的电流传输线的特征阻抗 夹在两个接地平面之间的导线 半导体衬底上的导线经验公式 (与导线的长度及频率无关,对芯片上的导线,Z0=10200)14.8 传输线效应 信号波形的传输速度注:大多数介质材料的相对导磁率r1实例:信号传

24、播过印制板上的20cm长导线,所需时间1.5ns信号传播过芯片上的10cm长导线,所需时间0.65ns14.8 传输线效应 信号反射与终端阻抗终端阻抗决定了当波到达导线末端时有多少比例被反射反射系数终端信号幅度反射电压、电流入射电压、电流终端电阻线的特征阻抗传输线终端阻抗信号源阻抗线特征阻抗输入信号14.8 传输线效应 不同终端时传输线的特性2/,2/00incincLIIVVZZ终端匹配0,1IVVZincL终端开路incLIIVZ,010终端短路信号源内阻的 影响1LZ终端开路条件:V550才能上升到需经若干个信号台阶式上升信号源内阻很大flightStZR 最理想的情况就能达到个信号经信

25、号源内阻匹配V510flightStZR V55/0才能上升到需经若干个荡信号产生严重过冲及振信号源内阻很小flightStZR ltflight3.经tflight返回源端,亦发生近全反射(=2/3),使波幅增加2/3倍4.依次类推,直至波幅达到5V14.8 传输线效应 传输线瞬态响应:斜格图1.5V输入信号中只有一部分注入到传输线中2.经tfight到达末端,然后发生全反射(=1),使波幅加倍V83.0V00inSsourceVRZZ14.8 传输线效应 输入信号上升时间的影响0输入信号的上升时间为flightrtt 于飞行时间输入信号的上升时间等14.8 传输线效应 电容终端情形50pF

26、2500ZCRRLLSps119690ps6969.0ps5000LflightpLLflightCZ.ttCZCt总传输延时充电所需时间对飞行时间14.8 传输线效应 有损传输线传输方式与无损传输线相似,但幅值沿传输线不断衰减线分布传输线时,以电阻效应为主,当RC20 ZR输入信号的上升或下降时间传输线的飞行时间:对1cm的芯片上导线,tr150ns对50cm的PCB导线,tr8ns导线的总电阻传输线的特征阻抗:导线长度满足条件(由上面两个限制决定):传输线无损条件:/5.25.2)(ltttflightfr05ZR 14.8 传输线效应 何时需考虑传输线效应?clrLlctr55.22/0

27、ZR 实例:AI1层导线WcZ0Lmaxtrmax1.0m110aF/m604000 m67ps10m380aF/m1711.3mm188ps14.8 传输线效应 抑制传输线效应:阻抗匹配Z0ZLZ0Z0Z0ZS在导线源端串联匹配阻抗在导线末端并联匹配阻抗14.8 传输线效应 匹配阻抗的获得:方式利用MOSFET实现阻抗,通过调整FET尺寸实现阻抗的匹配0.25m CMOS工艺,W=53m的nFET与W=135m的pFET组合可实现50的阻抗OutMrVDD14.8 传输线效应 匹配阻抗的获得:问题1问题:FET阻抗随工艺、电压、温度的变化高达100,而匹配阻抗的精度要求为10对策:分段线匹配

28、驱动器。改变尺寸系数si来调整每一分段电阻的大小,控制ci电平来决定每一分段是否接入(i=1,2,n)Z0c1c2s0s1s2sncnZLGNDVDDIn14.8 传输线效应 匹配阻抗的获得:问题2问题:FET阻抗并非线性,电阻随电压的变化而变化对策:采用nFET-pFET对来代替单管0.51PMOS with-1V biasNMOS-PMOSPMOS onlyNMOS only1.5VR(Volt)22.501.111.21.31.41.51.61.71.81.92OutMrVDDOutMrVDDVbbOutMrpMrnVDD线性阻抗区归一化的电阻14.9 串扰 基本概念串扰Crosstal

29、k:两条互连线间距很近时,一条线上的脉冲电压通过寄生电容耦合(或电感耦合,但在目前的工艺中不重要)在另一条线上引起寄生信号。串扰的大小取决于线间耦合电容的大小(Cc)以及线间电压差随时间的变化速率(dV12/dt)。dtdVCdtVVdCdtdVCiccc12211212)(21的耦合电流至线线14.9 串扰 线间耦合电容 线间距S越小耦合电容越大串扰越严重单位长度耦合电容总耦合电容14.9 串扰 2线间耦合等效电路梯形等效电路形等效电路2条平行互连线形等效电路3条平行互连线14.9 串扰 3线间耦合等效电路 线上电荷解析表示矩阵表示 电流方程14.9 串扰 3线间耦合模型总的平板电容底部及边

30、缘的影响侧面的影响四角的影响为平板底面积wlA为平板周长)(2lwP14.9 串扰 层间串扰:平板电容总的重叠电容为重叠面积21wwAovMetal2Metal1为了使重叠面积尽量小,版图设计时应使相邻两层连线交叉时相互垂直14.9 串扰 层间串扰:重叠电容XYVXCXYCY14.9 串扰 对浮空线的串扰扰动线(高摆幅时产生的扰动大)浮空线(低摆幅时对扰动敏感)实例动态电路中的预充电节点V(7.5%)19.0V5.2xxyyxyyxVCCCVV时线间耦合电容浮空线串扰特点:干扰电压留存,并可能与后续干扰叠加而产生更糟的影响!常见浮空线:动态存储器、片上总线、动态逻辑电路中的预充电节点等0.5f

31、F6fFCYCXYVDDPDNCLKCLKIn1In2In3YX2.5 V0 V3 x 1 m覆盖14.9 串扰 对被驱动线的串扰被驱动线串扰特点:干扰电压随时间变化,最终会趋于0当trxy时,Vy随时间的变化按时间常数xy而指数衰减;当trxy时,Vy随时间的变化有一峰值,峰值高度随tr而驱动源阻抗Ry xy 串扰)(yxyyxyCCR扰动线(高摆幅时产生的扰动大)被扰动线(低摆幅时对扰动敏感)yV14.9 串扰 抑制串扰的途径尽量避免节点浮空对串扰敏感的节点(低摆幅、浮空)应尽量远离全摆幅信号线在时序允许的前提下,尽量加大信号的上升(下降)时间,但会使开关功耗加大在敏感的布线网络中采用差分

32、信号传输方法,使串扰信号成为不影响电路工作的共模信号源相邻(同层,异层)导线尽量不要平行,相邻层尽量垂直走线,平行走线尽量远离在两个信号线之间加一条屏蔽线,或者在两个信号层之间加一个屏蔽层,屏蔽线或屏蔽层接GND或VDD,使线间电容成为接地电容,但会增加电容负载14.9 串扰 抑制串扰的布线方式线间、层间加屏蔽密集型布线结构(DWF)VDDSignalGNDGNDGNDShieldingwireSubstrate(GND)ShieldinglayerVDD14.9 串扰 串扰对延时的影响假定X、Y、Z上的信号同时翻转最坏情形最坏情形X、Z翻转方向相同且均与Y相反Cc两端的电压摆幅是信号摆幅的2

33、倍等效电容负载为CL=CGND+4Cc串扰使延时增加最好情形最好情形 X、Z、Y翻转方向相同Cc两端的电压不变等效电容负载为CL=CGND串扰对延时无影响Y对地电容线间耦合电容 串扰对电路延时的影响不仅与线间耦合电容的大小有关,还与信号的时序有关14.9 串扰 串扰对延时的影响:实例N位平行总线:假定所有输入同时翻转,但翻转方向可以不同。第k条导线的传播延时可表示为因串扰而引入的延时比例系数,与相邻的第k-1条和第k+1条导线的翻转状况有关导线对地电容导线电阻驱动器的等效电阻最好情形最坏情形(单位长度对地电容)单位长度线间电容)wiccr(14.9 串扰 抑制串扰延时的途径电路优化:针对延时的

34、瓶颈单元版图优化:加入屏蔽线和屏蔽层布线结构优化:采用预定义、保守的布线结构时序优化:消除或避免引起最坏情形的导线翻转 通过给总线插入编解码,可消除“有害”的翻转,但会增加额外的硬件和延时开销,要综合考虑EncoderDecoderBusInOut14.10 布局布线 布局要求布局要求布局要求总面积尽量小总连线长度尽量短外轮廓尽量接近方形尽量分块化、层次化需布局的逻辑块及其连接关系初始布局结果分块化层次化14.10 布局布线 可分块布局14.10 布局布线 不可分块布局全局布线:确定各单元块之间的连接路径细节布线:确定实现这些路径的物理信息14.10 布局布线 布线步骤连线搜索:从起点到终点,

35、寻找并确定布线路径迷宫布线:在从起点到终点的所有可能路径中,寻找最短路径14.10 布局布线 栅格布线模型14.11 片上网络 概念Internet对于给定的范围和大量连接点能够一直正确地工作有一个思考周密的协议层,将功能、性能和可靠性方面的考虑分割开并相互独立Network on a chip将互连线看作是通信信道,由互连网络动态地决定数据包何时、何路径进行传输引入纠错/重发机制,允许传输信号出错END第14章 互连14.2 互连线延时模型 互连线电阻与电容rlwlRRSline互连线电阻FclTlwCoxoxline互连线电容tRS薄层电阻cm/wRrS单位长度电阻F/cm c单位长度电容3.1 集成电路物理结构 分布电容和分布电阻181512963101010101010afpnm单位3.1 集成电路物理结构 互连的三维效应

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!