通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计【稻谷书苑】

上传人:8** 文档编号:175960761 上传时间:2022-12-20 格式:DOC 页数:12 大小:504.50KB
收藏 版权申诉 举报 下载
通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计【稻谷书苑】_第1页
第1页 / 共12页
通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计【稻谷书苑】_第2页
第2页 / 共12页
通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计【稻谷书苑】_第3页
第3页 / 共12页
资源描述:

《通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计【稻谷书苑】》由会员分享,可在线阅读,更多相关《通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计【稻谷书苑】(12页珍藏版)》请在装配图网上搜索。

1、通信原理课程设计报告题目: 数字基带信号利用匹配滤波器的最佳接收模型设计 专业班级:姓 名:学 号:指导教师:目录:摘要1关键词1课程设计要求1正文2 1.概述2 2.1设计原理2 2.2.1硬件框图4 2.2.2Simulink平台模块5 2.3.1设计过程5 2.3.2高斯白噪声发生器.52.3.3积分器62.3.4抽样判决器7 3.1数据7 3.2结果分析9 4.结论10参考文献10【摘要】 匹配滤波器能将调制过的信号还原成原来的样子,而最佳接收机则是指在输入信号存在白噪声的情况下,将信号还原的同时还能优化处理成最准确的信号的接收系统。通常在判别一个系统的优劣时,误码率是个好判断标准。本

2、次课程设计也将误码率作为一个重要的分析系统优劣的标准,设计一个误码率最小的接收系统。【关键词】MATLAB simulink仿真平台 匹配滤波器 最佳接收机【课程设计要求】仿真实现数字基带信号利用匹配滤波器的最佳接收机模型。接收信号为高斯白噪声的二进制数字序列x(t),其码型为双极性不归零码,利用匹配滤波器的最佳接收过程的时域图及频谱图,以及对所设计的系统性能进行分析。实现该最佳接收模型和非最佳接收机模型的区别和性能比较。正文:1.概述首先从匹配滤波器的定义:输出信噪比Ps/Pn最大的线性滤波器称为匹配滤波器来看。它的优秀性能使它成为一种非常重要的滤波器,广泛应用与通信、雷达相关的系统中。从相

3、频特性上看,匹配滤波器的输入信号与相频特性是刚好完全相反的。这种情况下,信号通过匹配滤波器后,其相位为0,恰好能使信号时域出现相干叠加的结果。反观噪声的相位是随机的,所以噪声只会出现非相干叠加的结果。也就是说时域上的信噪比最大的问题解决了。从幅频特性来看,输入信号与匹配滤波器的幅频需要一致。也就是说,只要在信号频率越强的点,滤波器的放大倍数也会变得越大;在信号频率越弱的点,滤波器的放大倍数也相应的变得越小。换言之,这种特性使得匹配滤波器让信号尽可能通过,而不太会收噪声影响。在信号输入匹配滤波器之前出现的高斯白噪声的功率谱是相对平坦的,在各个频率点也是差不多的。因此,这种情况下,信号能够尽可能的

4、通过,而噪声则被尽可能的减弱。在解决这两方面的问题后匹配滤波器还不够完美,需要进行最佳接收机准则检验。但这就需要另外的一些设计。2.1设计原理有y: y(t)=s(t)+n(t)。当发出信号为si(t)时,其概率密度函数为:按照某种准则,可以对y(t)作出判决,使判决空间中可能出现的状态r1, r2, , rm与信号空间中的各状态s1, s2, , sm相对应。 PeP(s1)P(r2/s1)P(s2)P(r1/s2)P(r2/s1)P(r1/s2)为错误转移概率。以使Pe最小为目标,导出最佳接收的准则。把观察空间的取值域y划分成A1域和A2域,一旦接收机被构成后,则这个划分就被规定。该域的几

5、何表示如图1所示。图1落在A1域的实现判为r1;A2域中的实现判为r2。因此Pe可写成:PeP(s1)P(A2/s1)P(s2)P(A1/s2)正确判决的概率为 Pc1-Pe=P(s1)P(A1/s1)P(s2)P(A2/s2)为使Pc最大,应同理, 即得,若,则判为r1。若,则判为r2。简化为:若,则判为r1;若,则判为r2。这就是最大似然准则。设到达接收机的两个信号为s1(t)和s2(t),它们的持续时间为(0,T)。观察到的波形y(t)可表示为:y(t)=s1(t) 或 s2(t) + n(t)。若,则判决收到s1(t),于是判决收到s1(t)的条件成为:简化为:其中:当P(s1)=P(

6、s2) 时,条件成为:以上为公式推论证明2.2.1硬件框图匹配滤波器能将调制过的信号还原成原来的样子,而最佳接收机则是指在输入信号存在白噪声的情况下,将信号还原的同时还能优化处理成最准确的信号的接收系统。通常在判别一个系统的优劣时,误码率是个好判断标准。本次课程设计也将误码率作为一个重要的分析系统优劣的标准,设计一个误码率最小的接收系最佳接收机原理框图2.2.2simulink平台模块2.3.1设计过程这次设计采用了MATLAB中的simulink平台来进行模块仿真,我负责的是把加入高斯白噪声后的信号滤波的电路设计。按照硬件框图,在simulink工作区界面依次加入高斯白噪声发生器、相乘器、积

7、分器、抽样判决器等并连接在一起。此过程我上网搜索各个器件模块在simulink上的零件构成和其英文名称、用法等必要信息。运用simulink自带的模块库,输入零件名称就可以找到。2.3.2高斯白噪声发生器:该高斯白噪声发生器用法简单,只需要左边接入输入信号,右侧输出端就可以输出加入高斯白噪声的信号。双击打开此界面可调整白噪声的强度。2.3.3积分器:积分器由数据输入端和时钟输入端、integrator_rest、数据输出端组成。连接时要接入时钟信号,输入端接入加入噪声的输入信号,右侧输出积分后的信号。 2.3.4抽样判决器 抽样判决器由输入端、判决常数constant、Relational o

8、perator、输出端、时钟输入端组成。使用时要接入时钟信号,接入积分后的输入信号,右侧输出滤波完成的信号。 3.1数据 (1)Hfauheuehefehhuhehuheuahhnvueuvhuhe(2)经发局就按基金额驸回复或非uu呼呼呼呼呼 护额u胡同呼呼呼呼u(3) (4)3.2结果分析从波形来看最佳接收机叫非最佳接收机更能还原出原来的波形数据记录如下:高斯白噪声强度0.30.81.01.5最佳接收机误码率0.0021230.010590.018290.04658非最佳接收机误码率0.49570.49710.4990.499如上截图以及表格所示当高斯白噪声不断增强后,最佳接收机的误码率不

9、断变大但依旧在一个可以接受的范围,并小于非最佳接收机。频谱图由频谱图可看出最佳接收机的频率较为集中,即输出波形较为规律;而非最佳接收机的频率十分分散,即波形较为混乱。从这几方面可以看出此匹配滤波器符合最佳接收机的标准4.结论 从分析来看,这次的课程设计完成了大部分的课程设计要求,做出来一个误码率低,波形还原较完整的一个匹配滤波器。但是也有一些要求没有做出来像系统运行的编码不是要求所说的双极性不归零码而是普通的随机二进制码。无码曾经尝试输入双极性码,但是系统却无法还原原来的信号,几经尝试后因为提交期限将至所以只好作罢,降低了一点实验要求。最终的成品个人还是觉得可以接受,毕竟付出了努力,也能完成一些功能。在此感谢老师的指导和同学们的帮助。【参考文献】1. 通信原理教程(第3版) 樊昌信 电子工业出版社 2. 基于MATLAB的通信系统仿真 北京航空航天大学出版社3. MATLAB/Simulink与控制系统仿真(第3版)电子工业出版社11软硬件

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!