第讲VHDL标识符数据

上传人:痛*** 文档编号:174473425 上传时间:2022-12-15 格式:PPT 页数:10 大小:275.52KB
收藏 版权申诉 举报 下载
第讲VHDL标识符数据_第1页
第1页 / 共10页
第讲VHDL标识符数据_第2页
第2页 / 共10页
第讲VHDL标识符数据_第3页
第3页 / 共10页
资源描述:

《第讲VHDL标识符数据》由会员分享,可在线阅读,更多相关《第讲VHDL标识符数据(10页珍藏版)》请在装配图网上搜索。

1、第十一讲第十一讲 VHDL的标识符,数据类型的标识符,数据类型和操作符和操作符标识符,数据类型和操作符标识符,数据类型和操作符l标识符基本标识符:以字母开始,不可以用“_”结束对大小写不敏感l数据对象信号(Signal)常数(Constant)变量(Variable)文件(File)VHDL的标准数据类型的标准数据类型VHDL的标准数据类型的标准数据类型l枚举数据类型(Enumerated data types)主要用于建立计算系统的模型,例如:type instr_opcode is(add,sub,xor,nor,beq,lw,sw);type state is(empty,half_fu

2、ll,half_empty,empty);l阵列类型(Array types)type byte is array(7 downto 0)of std_logic;type word is array(31 downto 0)of std_logic;type memory is array(0 to 4095)of word;物理数据类型物理数据类型物理数据类型物理数据类型物理数据类型物理数据类型物理数据类型物理数据类型用物理数据类型进行用物理数据类型进行VHDL描述描述对新的数据类型,采用packages来封装类型定义,针对该数据类型的类型转换函数和算术函数应用举例:功耗的描述芯片面积的描述对“累加”型的物理量进行描述VHDL操作符操作符

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!