组成原理 8位模型机的设计

上传人:hjk****65 文档编号:172783893 上传时间:2022-12-06 格式:DOC 页数:20 大小:652.54KB
收藏 版权申诉 举报 下载
组成原理 8位模型机的设计_第1页
第1页 / 共20页
组成原理 8位模型机的设计_第2页
第2页 / 共20页
组成原理 8位模型机的设计_第3页
第3页 / 共20页
资源描述:

《组成原理 8位模型机的设计》由会员分享,可在线阅读,更多相关《组成原理 8位模型机的设计(20页珍藏版)》请在装配图网上搜索。

1、计算机组成原理课程设计报告题 目 8位模型计算机的设计姓 名 学 号 班 号 指导老师 成 绩 目 录1. 课程设计目的32. 开发工具选择33. 方案选择 34指令系统设计45. 模型机框图设计56. 指令流程图67. 微指令格式(微程序控制器)设计78. 微程序(微程序控制器)设计79. VHDL实现910. 调试仿真1611. 课程设计回顾总结 19参 考 文 献 19附录 191. 课程设计目的 (1)、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计

2、算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。(2)、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。2. 开发工具选择 以TEC-CA教学实验系统为平台,采用硬件描述语言 VHDL为设计工具,应用QUARTUS5.1环境进行大规模集成电路的功能设计仿真。3. 方案选择 实习的内容为八位模型计算机的设计,为单总线,微程序控制方式,设置两种寻址方式:直接寻址(“0”)和寄存器寻址(“1”)。 微程序控制方式由微指令译码产生。微程

3、序中一条机器指令往往分成几步执行,将每一步操作所需的若干为命令以代码编写在一条微指令中,若干条微指令组成一段微程序,对应一条机器指令。然后根据系统的需要,事先编制各段微程序,将它存入控制存储器(CM)中。微程序执行过程:(1)从控存中逐条取出“取指令操作”,执行取指令公共操作。(2)根据指令的操作码,经过微地址形成部件,得到这条指令的入口地址,并送入微地址寄存器中。(3)从控存中逐条的取出对应的微指令并执行。(4)执行完一条机器指令对应的微程序后又回到取指微程序的入口地址,继续第(1)步,以完成取下一条机器指令的公共操作。 微程序控制基本框图:IRPSWPC微地址形成电路微地址寄存器MAR微指

4、令寄存器MIR译码器控制存储器CM指令代码运行状态微命令字段微地址字段微命令序列图1 微程序控制基本框4. 指令系统设计所要设计的微程序控制器是由七条指令来完成的,即:sta, add, sub, and1, jmp, shl, nop。实现功能分别如下:sta 指令实现存操作;add 指令实现加法操作;sub 指令实现减法操作;and1指令实现与操作; jmp 指令实现无条件跳转操作;shl 指令实现逻辑左移操作;nop 指令实现空操作。 7 5 4 1 0 操作码 寻址方式标志位 图2 指令格式 模拟机采用了定长的指令格式,每条指令字长为8位。采用的寻址方式为直接寻址和寄存器寻址,标志位为

5、“0”时为直接寻址,为“1”时为寄存器寻址。操作码类型及编码方式如下: 操作码 staaddsuband1jmpshlnop编码方式 000001010011100101110含义 传送加减与跳转逻辑左移空 表1 指令列表 5. 模型机框图设计 模拟机数据通路如下图所示,模型机采用单总线结构,主要包括运部件ALU,以及程序计数器PC、累加器ACC、指令寄存器IR、数据寄存器MDR、地址寄存器MAR和通用寄存器R,RAM为内存。 微程序控制器 IR R PC MAR ACC RAM MDR 控制信号 ALU 图2 模拟机数据通路 Flags (1)寄存器的位数 所有的寄存器都均为8位: 通用寄存

6、器R。该模拟机有1个通用寄存器,用于寄存器寻址时存放结果,提供操作数。 指令寄存器IR。为了提高取指令的速度,将指令从内存中读出,经数据总线直接置入IR。微地址21 2019181716151413121110987654-0alu_ srlreg_ busload_pcacc_busload_accpc_busload_irload_marmdr_busalu_andalu_accinc_pcaddr_buscsr_nwalu_addalu_sub下址字段 数据寄存器MDR、地址寄存器MAR。地址寄存器MAR提供访问主存的地址;数据寄存器MDR,把从内存取出的数据暂存于MDR中,在用到该数据

7、进行运算时,再从MDR中取出数据进行运算。 程序计数器PC。用于存放下一条指令的内存地址。 (2)总线宽度:该模拟机只有一条总线,且总线宽度为8位。 (3)ALU位数及运算功能 ALU可以实现8位操作数的运算,即ALU的位数为8位。ALU运算功能为: 加(001:add)、减(010:sub)、逻辑与(011:and)、左移(101:shl)、跳转(100:jmp)、 空(110:nop)。 (4)微命令的设置(各标识的含义)6. 指令流程图 指令的流程图如图 3所示,共有 8 条指令,每条指令都要经过取指令、分析指令和执行指令3个步骤。 在取指令阶段,8 条指令是一样的,首先程序计数器PC的

8、内容通过总线送入地址寄存器MAR,存储信息,PC+1传送给PC,把读出的内容传送给指令寄存器IR 。再接下来的操作中,根据不同的指令,执行顺序也不同。MIR,PC+1PCPCMAR开始PCMARPC+1PCMACCRACCstaMPCjmpPCMARPC+1PCRDACCDACCMDPCMARPC+1PCRDACC+DACCMDPCMARPC+1PCRDACC AND DACCMDPCMARPC+1PCACC左移ACCMACCRACC无subandshlnopand结束图3 指令流程图7.微指令格式(微程序控制器)设计 微指令格式设计如表1:控 制 信 号描 述ACC_bus用ACC的内容驱动

9、总线load_ACC将总线上的数据载入ACCPC_bus用PC的内容驱动总线load_IR将总线上的数据装载至IRload_MAR将总线上的数据装载至MARMDR_bus用MDR的内容驱动总线ALU_ACC用ALU的结果装载ACCINC_PCPC+1并将结果存至PC中Addr_bus用IR指令中的地址部分驱动总线CS片选。用MAR的内容设置存储器地址R_NW读取,不可写。当R_NW无效且CS有效时,MBR的内容存储于存储器中Reg_bus用寄存器R的内容驱动总线load_Reg将总线上的数据装载至RALU_ADD在ALU中执行逻辑加操作ALU_SUB在ALU中执行减操作ALU_AND在ALU中

10、执行与操作ALU_SHL在ALU中执行左移操作 表2 微指令格式8. 微程序(微程序控制器)设计 根据微处理器的数据通路和指令系统,可得出微程序的流程图如图4所示。微程序的编码采用直接编码方法,每一个控制信号对应一位,共有17个控制信号,根据微指令格式把相关的控制信号整合到一起进行编码。 微程序流程图的下地址,可知共有25条微指令,表2给出了该模拟机微程序的编码。地址3的下地址,应该根据指令操作码来和寻址方式形成,所以将其下地址设为11111,表示如果下地址为11111时,且寻址方式标志位为0(即立即寻址),则下地址应为01 & 指令的操作码,若寻址方式标志位为1(即寄存器寻址),则下地址应为

11、10 & 指令的操作码。PC_busload_MARINC_PC CS R_NWaddr_busload_MARMDR_busload_IRopMDR_busload_accMDR_busload_regstaCSR_NWCSR_NWIR_out(0)MDR_busALU_ACCALU_ADDCSR_NWaddReg_busALU_ACCALU_ADDIR_out(0)CSR_NWsubMDR_busALU_ACCALU_SUBandCSR_NWMDR_busALU_ACCALU_ANDjmpCSR_NWMDR_busLoad_PC无z_flagshlALU_ACCALU_SRLnop0321

12、981015754616131211252423222120191817 图4 微程序流程图该模拟机微程序的编码如下0= 00000101000100000000011= 00000000000001100000102= 00000010100000000000113= 00000001000010000111114= 00000000000001100001105= 00000000000001100001116= 00001000100000000000007= 00010000100000000000008= 00000000000000000110119= 00000000000000

13、00011100 10= 0000000000000000010010 11= 0000000000000000010100 12= 0000000000000000010110 13= 0000000000000000011001 14= 0000000000000110000000 15= 0000000000000110010001 16= 0000000010100001000000 17= 0100000000100001000000 18= 0000000000000110010011 19= 0000000010100000100000 20= 00000000000001100

14、10101 21= 0000000011100000000000 22= 0000000000000110011101 23= 0010000010000000000000 24= 0000000000000000000000 25= 10000000001000000000009. VHDL实现头文件cpu_defs.vhd如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;PACKAGE cpu_defs ISTYPE opcode IS (sta, add, sub, and1, jmp, shl, nop);CONSTANT word_w: NATU

15、RAL :=8;CONSTANT op_w: NATURAL :=3;CONSTANT rfill: STD_LOGIC_VECTOR(op_w-1 downto 0):=(others =0);-FUNCTIOn slv2op(slv:IN STD_LOGIC_VECTOR) RETURN opcode;FUNCTION op2slv(op:in opcode) RETURN STD_LOGIC_VECTOR;END PACKAGE cpu_defs;PACKAGE BODY cpu_defs ISTYPE optable IS ARRAY(opcode) OF STD_LOGIC_VECT

16、OR(op_w-1 DOWNTO 0);CONSTANT trans_table:optable :=(000, 001, 010, 011, 100, 101, 110);FUNCTION op2slv(op:IN opcode) RETURN STD_LOGIC_VECTOR ISBEGINRETURN trans_table(op);END FUNCTION op2slv;-function slv2op(slv:in std_logic_vector) return opcode is-variable transop:opcode;-begin-for i in opcode loo

17、p-if slv=trans_table(i) then-transop:=i;-end if;-end loop;-return transop;-end function slv2op;END PACKAGE BODY cpu_defs;源程序cpu.vhd如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL,IEEE.NUMERIC_STD.ALL;USE WORK.CPU_DEFS.ALL;ENTITY CPU ISPORT( clock : IN STD_LOGIC; reset : IN STD_LOGIC; mode : IN STD_LOGIC

18、_VECTOR(2 DOWNTO 0); mem_addr : IN UNSIGNED(word_w-op_w-1 DOWNTO 0); output : OUT STD_LOGIC_VECTOR(word_w-1 DOWNTO 0); data_r_out : OUT STD_LOGIC_VECTOR(21 DOWNTO 0); op_out : OUT STD_LOGIC_VECTOR(op_w-1 DOWNTO 0); add_r_out : OUT UNSIGNED(4 DOWNTO 0) ); END ENTITY;ARCHITECTURE rtl OF CPU ISTYPE mem

19、_array IS ARRAY (0 TO 2*(word_w-op_w)-1) OF STD_LOGIC_VECTOR(word_w-1 DOWNTO 0);SIGNAL mem : mem_array;CONSTANT prog : mem_array:=(0=op2slv(sta) & STD_LOGIC_VECTOR(TO_UNSIGNED(9,word_w-op_w-1) & 0, 1= op2slv(sta) & STD_LOGIC_VECTOR(TO_UNSIGNED(10,word_w-op_w-1) & 1, 2= op2slv(add) & STD_LOGIC_VECTOR

20、(TO_UNSIGNED(9,word_w-op_w-1) & 1, 3= op2slv(sub) & STD_LOGIC_VECTOR(TO_UNSIGNED(10,word_w-op_w-1) & 0, 4= op2slv(and1) & STD_LOGIC_VECTOR(TO_UNSIGNED(10,word_w-op_w-1) & 0, 5= op2slv(shl) & STD_LOGIC_VECTOR(TO_UNSIGNED(10,word_w-op_w-1) & 0, 6= op2slv(nop) & STD_LOGIC_VECTOR(TO_UNSIGNED(9,word_w-op

21、_w-1) & 0,7= op2slv(sta) & STD_LOGIC_VECTOR(TO_UNSIGNED(11,word_w-op_w-1) & 0, 8= op2slv(jmp) & STD_LOGIC_VECTOR(TO_UNSIGNED(12,word_w-op_w-1) & 0, 9= STD_LOGIC_VECTOR(TO_UNSIGNED(2,word_w), 10= STD_LOGIC_VECTOR(TO_UNSIGNED(3,word_w), OTHERS = (OTHERS =0);TYPE microcode_array IS ARRAY (0 TO 25) OF S

22、TD_LOGIC_VECTOR(21 DOWNTO 0);CONSTANT code : microcode_array:=(0= 0000010100010000000001,1= 0000000000000110000010,2= 0000001010000000000011,3= 0000000100001000011111,4= 0000000000000110000110,5= 0000000000000110000111,6= 0000100010000000000000,7= 0001000010000000000000,8= 0000000000000000011011,9=

23、0000000000000000011100, 10= 0000000000000000010010, 11= 0000000000000000010100, 12= 0000000000000000010110, 13= 0000000000000000011001, 14= 0000000000000110000000, 15= 0000000000000110010001, 16= 0000000010100001000000, 17= 0100000000100001000000, 18= 0000000000000110010011, 19= 00000000101000001000

24、00, 20= 0000000000000110010101, 21= 0000000011100000000000, 22= 0000000000000110011101, 23= 0010000010000000000000, 24= 0000000000000000000000, 25= 1000000000100000000000);SIGNAL count : UNSIGNED(word_w-op_w-1 DOWNTO 0);SIGNAL op : STD_LOGIC_VECTOR(op_w-1 DOWNTO 0);SIGNAL z_flag : STD_LOGIC; SIGNAL

25、mdr_out : STD_LOGIC_VECTOR(word_w-1 DOWNTO 0); SIGNAL mar_out : UNSIGNED(word_w-op_w-1 DOWNTO 0); SIGNAL IR_out : STD_LOGIC_VECTOR(word_w-1 DOWNTO 0); SIGNAL acc_out : UNSIGNED(word_w-1 DOWNTO 0); SIGNAL sysbus_out : STD_LOGIC_VECTOR(word_w-1 DOWNTO 0); BEGINPROCESS(reset,clock)VARIABLE instr_reg :

26、STD_LOGIC_VECTOR(word_w-1 DOWNTO 0);VARIABLE acc : UNSIGNED(word_w-1 DOWNTO 0);CONSTANT zero : UNSIGNED(word_w-1 DOWNTO 0):=(OTHERS =0);VARIABLE mdr : STD_LOGIC_VECTOR(word_w-1 DOWNTO 0);VARIABLE reg : STD_LOGIC_VECTOR(word_w-1 DOWNTO 0);VARIABLE mar : UNSIGNED(word_w-op_w-1 DOWNTO 0);VARIABLE sysbu

27、s : STD_LOGIC_VECTOR(word_w-1 DOWNTO 0);VARIABLE microcode : microcode_array;VARIABLE add_r : UNSIGNED(4 DOWNTO 0); VARIABLE data_r : STD_LOGIC_VECTOR(21 DOWNTO 0);VARIABLE temp : STD_LOGIC_VECTOR(4 DOWNTO 0);BEGINIF reset=0 THENadd_r:=(OTHERS =0); count 0);instr_reg := (OTHERS =0);acc := (OTHERS =0

28、);mdr := (OTHERS =0);reg := (OTHERS =0);mar := (OTHERS =0);z_flag =0;mem 0);ELSIF RISING_EDGE(clock) THEN-microprogram controllerdata_r := code(TO_INTEGER(add_r);IF data_r(4 DOWNTO 0)=11111 THEN -判断下地址 temp:=01 & op(2 DOWNTO 0);add_r := UNSIGNED(temp);ELSIF data_r(4 DOWNTO 0)=11011 THEN IF IR_out(0)

29、=1 THEN add_r :=00101; ELSE add_r :=00100; END IF;ELSIF data_r(4 DOWNTO 0)=11100 THEN IF IR_out(0)=1 THEN add_r :=10001; ELSE add_r :=01111; END IF; ELSIF data_r(4 DOWNTO 0)=11101 THENIF z_flag=1 THENadd_r:=10111;ELSEadd_r :=11000;END IF;ELSEadd_r := UNSIGNED(data_r(4 DOWNTO 0);END IF;data_r_out =da

30、ta_r;add_r_out = add_r; -PCIF data_r(16)=1 THEN -PC_bus=1sysbus := rfill & STD_LOGIC_VECTOR(count);END IF;IF data_r(19)=1 THEN -load_PC=1count = UNSIGNED(mdr(word_w-op_w-1 DOWNTO 0);ELSIF data_r(10)=1 THEN -INC_PC=1count = count+1;ELSE count = count;END IF;-IRIF data_r(15)=1 THEN -load_IRinstr_reg :

31、= mdr;END IF;IF data_r(9)=1 THEN -Addr_bus=1sysbus :=0 & rfill & instr_reg(word_w-op_w-1 DOWNTO 1);END IF;op = instr_reg(word_w-1 DOWNTO word_w-op_w);IR_out = instr_reg;op_out =op;-ALUIF data_r(18)=1 THEN -ACC_bus=1sysbus := STD_LOGIC_VECTOR(acc);END IF;IF data_r(20)=1 THEN -REG_bus=1sysbus := STD_L

32、OGIC_VECTOR(reg);END IF;IF data_r(17)=1 THEN -load_ACC=1acc:=UNSIGNED(mdr);END IF;IF data_r(13)=1 THEN -MDR_bus=1sysbus:=mdr;END IF;IF IR_out(0)=0 THENIF data_r(11)=1 THEN -ALU_ACC=1IF data_r(6)=1 THEN -ALU_add=1acc := acc + UNSIGNED(mdr);ELSIF data_r(5)=1 THEN -ALU_sub=1 acc := acc - UNSIGNED(mdr);

33、 ELSIF data_r(12)=1 THEN -ALU_and=1 acc := acc and UNSIGNED(mdr); ELSIF data_r(21)=1 THEN -ALU_srl=1 acc :=acc(word_w-1-1 DOWNTO 0) & 0;END IF;END IF;ELSEIF data_r(11)=1 THEN -ALU_ACC=1IF data_r(6)=1 THEN -ALU_add=1acc := acc + UNSIGNED(reg); ELSIF data_r(12)=1 THEN -ALU_and=1 acc := acc and UNSIGNE

34、D(reg); ELSIF data_r(21)=1 THEN -ALU_srl=1 acc :=acc(word_w-1-1 DOWNTO 0) & 0;END IF;END IF;END IF;IF acc=zero THENz_flag =1;ELSEz_flag =0;END IF;acc_out=acc;-RAMIF data_r(14)=1 THEN -load_MAR=1mar := UNSIGNED(sysbus(word_w-op_w-1 DOWNTO 0);ELSIF data_r(12)=1 THEN -load_MDR=1mdr := sysbus;ELSIF data

35、_r(18)=1 THEN -load_REG=1reg := sysbus;ELSIF data_r(8)=1 THEN -CS=1IF data_r(7)=1 THEN -R_NW=1mdr := mem(TO_INTEGER(mar);ELSEmem(TO_INTEGER(mar)=mdr;END IF;END IF;mdr_out = mdr;mar_out = mar;END IF;sysbus_out sysbus -mode=1 - PC-mode=2 - result of ALU-mode=3 - IR-mode=4 - MAR-mode=5 - MDR-mode=6 - m

36、em output 0);CASE mode is WHEN 000 = output output(word_w-op_w-1 DOWNTO 0)output output output(word_w-op_w-1 DOWNTO 0) output output output Z);END CASE; END PROCESS;END ARCHITECTURE;10. 调试仿真add_r_out下地址的输出端,clock为时钟脉冲,data_r_out为微指令的输出,mem_addr为内存地址的输入,mode为输出模式的选择,op_out为操作码的输出,output结果的输出,根据模式决定,r

37、eset复位信号,1. mode的值为010,则output中输出为acc中的内容:STA指令:把9号单元的数据放到acc中,把10号单元的数据放入寄存器中。此时acc值为2,寄存器的值为3,仿真图并不显示。 仿真图1 STA指令 ADD指令:把9号单元的数据放到acc,并与寄存器中的数据相加,把结果放到acc中,acc中的数据为5。仿真图2 ADD指令 SUB指令:acc中的数据与10号单元的数据想减,结果放到acc中。acc中数据为2。 仿真图3 SUB指令 AND指令:acc中的数据与10号单元的数据相与,结果放到acc中。acc中数据为2。 仿真图4 AND指令 SHL指令:acc中的

38、数据逻辑左移一位,空位补0,结果放入acc中。acc中数据为4. 仿真图5 SHL指令 NOP指令:此操作为空操作,对acc中数据无影响。 仿真图6 NOP指令 JMP指令:跳转到STA指令,将9号单元的数据放到acc中。acc中数据为2. 仿真图7 JMP指令 2.mode中的值为001,则则output中输出为pc中的内容: 在此只截了具有代表性的jmp指令截图:仿真图8 001模式下JMP指令11. 课程设计回顾总结 本次课程设计我们要设计一台微程序控制的模型计算机,完成对计算机组成原理这门课程的综合应用,达到学习本书的作用。由于计算机设计的部件较多、结构原理较复杂,对于我们这样的初设计

39、者来说感到无从下手,所以我们在整个过程中采取由浅入深,由简单到复杂的放法,通过这次设计,使我们能清楚的了解计算机的基本组成、基本原理和设计步骤、设计思路和调试步骤,为独立完成计算机设计奠定了基础。也是通过这次课程设计,使我学会了我的动手能力以及动脑能力的重要性,同时信心也增强了,在课程设计中通过自己动脑子解决遇到的问题,把书本上学到的知识应用到实践中去,这样也巩固和深化了自己的知识结构。 虽然在设计的过程中遇到了很多问题不是我自己能够独自解决的,但是通过同学和老师的帮助和指导使我遇到的问题得到清楚的解决,同时也让我学到在遇到问题时如何去解决所遇到的问题。虽然在整个设计过程不是很完善,所做的也都只是按老师的最低要求完成的,只是多加了一条指令,但是总的来说这次课程设计还是很成功的,从中我得到了不少的收获,它很好的将理论与实际相结合,既检验了知识还锻炼了思维和设计操作能力。在此次的课程设计中,我要感谢辅导我们的老师们,是你们不辞辛苦的奔波以及对我们的帮助和指导,才让我们顺利的完成了这次课程设计。在此我代表所有的同学跟你们说声谢谢!参 考 文 献 1 罗克露,单立平,刘辉,俸志刚计算机组成原理北京:电子工业出版社,2004。 2 张兴忠,阎宏印,武淑红数字逻辑与数字系统北京:科学出版社,2004。 3 杨春武,于艳丽,刘敬晗tec-ca学生实验指导书北京:清华大学科教仪器厂,2005。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!