实验:芯片调试实验

上传人:ba****u 文档编号:172700448 上传时间:2022-12-06 格式:DOCX 页数:14 大小:722.71KB
收藏 版权申诉 举报 下载
实验:芯片调试实验_第1页
第1页 / 共14页
实验:芯片调试实验_第2页
第2页 / 共14页
实验:芯片调试实验_第3页
第3页 / 共14页
资源描述:

《实验:芯片调试实验》由会员分享,可在线阅读,更多相关《实验:芯片调试实验(14页珍藏版)》请在装配图网上搜索。

1、实验:芯片调试实验芯片调试实验实验内容这个实验将指导你通过加入 ILA/ICON 内核到设计来执行片上查证的过程。实验目的完成这个实验后,你将能够: 生成一些能在PicoBlaze上运行的任务。 使用Chipscope-Pro生成ILA和ICON内核,将其插入一个PicoBlaze设计中。 下载位流,在硬件上运行程序。 执行片上确认,通过 Chipscope 分析器查看波形。实验步骤在这个实验中,你将要修正一个以 PicoBlaze 为目标板的应用软件,使用 Chipscope-Pro 执 行片上确认。这个实验包括五个主要步骤: 加入一个 Chipscope 工程文件到设计 修正 ILA 参数

2、和连接 修正软件,更新设计 对于没有相连的转换输入,分配终端约束 执行片上查证根据以下给出的每条指令,你将找到在以下的实验步骤中,配合每一步操作,我们配 有相关的图示。如果对流程比较熟悉,可以跳过其中的一些操作。注意:如果在以后你想看这些实验, 您可以从 Xilinx 的大学计划网站 上下载相应的文件。设计总结你将使用Chipscope-Pro插入ICON和ILA内核到设计中,ILA内核触发端口从设计中的 uart_rx 和 uart_tx 模块实现信号反馈,接着,当文本输入 via hyperterminal 后,建立的触发端口 将捕捉数据。当缓冲器满的时候,你将看见最终结果列在Chipsc

3、ope中。产生一个新的 Chipscope-Pro 工程步骤14启动ISE Project Navigato,打开工程文件。1. 打开 Xilinx ISE 软件,选择 Start T Programs T Xilinx ISE 8.2i t Project Navigator2. 选择 File t Open ProjectVerilog users: Browse to c:xupfpgaflowlabsveriloglab4VHDL users: Browse to c: xupfpgaflowlabsvhdllab43. 选择 chipscope.is点击 Open通过 Project

4、 Navigator 生成一个新的 Chipscope-Pro 工程1.在Project Navigator中选择Project New Source,打开新的源文件对话框,点击Chipscope Definition and Connection,命名为 loopback_cs.点击Next继续2.选择loopback作为源文件,点击next,然后点击finish, 个Chipscope-Pro源文件将被 增加到 Sources in Project 窗口。S ources for: Synthesis.-,llrriplernentation 固 chipscopeO xc2vp30-7f

5、f896-loopback (loopback.v)|7| rrprLkcpsmS - kcpsm3 kcpsmS.v Jiy_pijg日m - program (program. :-:cup-共 instarice_narne - my_dcrn rny_dcrn.:-:aiAi- 0 transmit - uartjw (uartjw.v)V| kcuart - kcuart_tx (kc:uart_t:-:.vV| t.uf_o - bbfifo_16x8 (bbfifo_16x8.v)- 回 receive - uart_r:-: (uart_r:-:.v7| kcuart - kc

6、uart_r:-: kcuart_r:-:.v0 buf_0 - bbfifo_16x8 (bbfifo_16x8.v)& loopback, ucf (loopback, ucf唇11 luOpti.3L:k_L:S.CdL: (luUpbaL:k_L:S.L:dL:nurces fci匚 Sy门th已创占I1中1已iti已门tationFjj L:ureqen_lab- xc2vp30-7ff896-piijcm loopback - E! eha vioral (loopback, vhdpH| rriri_kcpsm3 - kcpsm3 -1匚吶已”已l_dMi门iticu门kcpsm

7、S.vhd ; rrpri_program - 口rogram (program.:-:cu r -理 lnst_rriv_dcrri - mv_dcrn (rnv_dcrri.:-:ai,! -H transmit - uart_t:-: - rriai:ru_lev已l_i=IMi门帀口门(uart_t:vhd)2% kcuart - kuu日t_t::-luALleveLdefinitiun kcLjart_t:vhd 瓦 buf - bbfifo_16x8 - low_level_definition (bbfifo_16x8. vhd) -H receive - uart_r:-:

8、- macro_level_d已finitiij门uart_r:-:.vhd咼 kcuart - ki:uart_r:-: - luiALleveLdefinition (kcuart_r:-:.vhd 鲨| buf - bbfifo_16x8 - low_level_definition (bbfifo_16x8. vhd) -忖 loopback, ucf (loopback, ucf* loopback_cs.cdc (loopback_cs. cdc)修正ILA内核参数和连接Figure 6-2. Chipscope Definition and Connection (.cdc) a

9、dded to ProjectFigure 6-3. Chipscope-Pro Core Inserter使用内核插件插入ICON和ILA内核到设计网表中,连接电源的输出端到 ILA 内核的触发脉冲输入数据端。1. 在 sources in project 窗口中双击 loopback_cs.cdc 文件打开内核插件工程。注意:工程保存在内核中,插件包含了所有源文件,目标文件,内核参数和内核设置的信息。2.点击,保留使能JTAG Clock BUFG插入选项没有选中,点击新的ILA单元,注意在 左边的窗口怎样使一个ILA内核实例U0:ILA加入到系统。Figure 6-4. Insert a

10、 new integrated logic analyzer (ILA) Unit注意:使能JTAG clock BUFG插件会使ISE工具发送JTAG clock,使用正常的发送资源以取代 全局时钟发送源。如果全球发送源很少应该选择这个选项。3.点击 next 建立触发时的参数。 每个ILA或ILA/ATC核都可以有多达16个分开的触发端口,这些触发端口可以独立设置。独 立的触发端口是由独立的信号和可以达1到256位的位流组成的总线。每个触发端口与1-16个 匹配的单元相连。一个匹配的单元是一个与触发端口相连,被用于察觉触发端口上的事件的比较仪。一个或多个匹 配单元合并到一起可以形成全局的触

11、发条件,这样就可以用来控制捕捉的数据。不同或者相同的 功能可以由触发端口匹配单元来完成,取决于匹配单元的类型。 ILA 和 ILA/ITC 内核支持6种 类型的匹配单元。在这个实验中,你将建立一个 ILA 内核来触发一些 UART 控制信号。4.设置如下ILA触发参数,然后点击next 触发输入和匹配单元的设置输入触发端口的数目:3Trigger PortTrigger Width# Match UnitsCounter WidthMatch TypeTRIG011DisabledbasicTRIG111DisabledbasicTRIG211Disabledbasic触发条件的设置 使能触发

12、时序:Checked (这是允许你指定一个事件的发展使能触发) 时序最大级别: 2 存储限制条件设定 使能存储限制:Checked (这是允许你指定哪些数据将在缓冲内部存储)Figure 6-5. Specify the Trigger Parameters最大数目的 ILA 内核可以存储在样本缓冲区的数据样本字叫做数据深度,数据深度决定了数据 位宽的数量,作用于每个由ILA单元使用的RAM单元,。最大数目的能捕捉的数据样本字决定 了 RAM 区的数目和大小。这些 RAM 区因装置的属性和密度而不同。5.设置以下选项,点击vnext Data Depth: 512 Sample On: Ris

13、ing clock edge Data Same as Trigger Port: unchecked Data Width: 8Figure 6-6. Specify Trigger Parameters钟,触发和数据则必须指定。如果没有连接成功将显示红色。Figure 6-7. Unconnected Net Connections网络连接符允许你选择信号与 ILA 或 ILA/ATC 内核相连。如果要使触发与数据分开,时6. 点击 Modify ConnectionsSelect Net对话框提供了一种简单的接口去选择网络连接到ILA, ILA/ATC或ATC2内 核。设计的层次结构可以

14、使用 Structure/Nets 边旋转。所有选择层次结构设计的网将出 现在表格的左下方。时钟信号和触发/数据信号符指明网络在设计和ILA内核的连接。7.随着Clock Signals符在Net Selections下被选择,在列表中着重说明了 clk55MHz,点击 Make Connections 按钮连接设计中的时钟信号到 ILA 内核的时钟端口。Net SelectionsTrigger Signals Data SignalsClock SignalsChannelCH:0/clk55MHzFigure 6-9. Connect the clock8. 点击 Trigger Sig

15、nals 符,连接触发端口如下:TP0: data_present (this signal indicates that data is present in the uart_rx moduleTP1: read_from_uart (input to uart_rx that indicates that a read operation will occur)TP2: write_to_uart (input to uart_tx that indicates that a write operation will occur)/data p resentTPOTP2TPOTPOTP2

16、ChannelCH:0Net Selectionsf Trigger Signals Data SignalsChannelClock Signals、TP1 LTP2CH:0JWrite to uartData SignalsClock SignalsClock Signals/read from uartData SignalsTrigger Signals FTrigger SignalsChannelCH:0Figure 6-10. Connect the Trigger Ports9. 点击 Data Signals 符,连接输出到控制器,到 ILA 内核的数据端,如 Figure

17、6-11 所示,点击vOK:(Trigger Signals Data SignalsClock SignalsChannelCH:0/out portCH:1/ut portCH:2/ut portCH:3/ut portCH:4,ioirt portCH:5/ut portCH:6/ut portCH:7/ut portIdpo |Figure 6-11. Connect the PicoBlaze output port10. 你将注意到时钟,触发和数据端口在网络的连接下用黑色加粗了。指示是有效的连接。点击 Return to Project Navigator,保存文件。Figure

18、6-12. Connection between Design and ILA core Established指定Chipscope分析器选项步骤34 Chipscope-Pro分析器工具经ICON连接到ILA。你能够配置你的装置,选 触发,建立控制台,捕捉数据,观看结果。数据查看和触发可以用很多方 式处理,提供了一个简单直接的界面来决定设计的功能。使用分析器,你 可以配置FPGA,指定相应的单元,建立触发的条件。1. 在进程中双击 Analyze Design Using Chipscope2. 连接下载电缆到 PC 并行端口和 Spartan-3E 板的 JATG 连接,给板子上电。3.

19、 点击 Open Cable/Search JTAG Chain 按钮fOpen Ca5l?/3earch JTA3 CiainJTA3Cicir;Figure 6-13. Establish JTAG Connection Spartan-3E 板包含了三个装置在 JATG链中:Spartan-3E xc3s500e, Platform Flash xcf04s, and CoolRunner II xc2c64a,冲击会检测到这些装置,在介绍寄存器(IR) 长度和ID装置代码的同时列出这些装置的名字。Figure 6-14. Impact Detects Devices in JTAG C

20、hain4.点击OK。点击xc3s500e装置,选择配置。5.点击Select New File,浏览工程目录,选择位流文件loopback.bit.点击OK.Chipscope Pro 分析器界面包含四个部分: 在上层分离面部分左边窗口的工程目录 在底层分离面部分左边窗口的信号浏览器 在窗口底部的信息窗格 主要窗口区Figure 6-15. Chipscope Analyzer Window每个Chipscope Pro ILA, ILA/ATC,和IBA核都有自己的触发建立窗口,包含一个画的接口提 供给使用者建立触发,在每个 Chipscope Pro 内核的触发机制可以在运行的时间里进行

21、修正 不用编译设计。对于触发机制有三个组成部分。 匹配功能:对于每个相应的单元定义匹配和比较值 触发条件:定义总体触发条件建立在一个二元的等值或者一个或多个匹配单元功能序 列中。 捕捉设置:定义可以采集多少样本,多少个采集窗口,和这些窗口的触发位置在这个设计中,你可以在PicoBlaze的输出端口建立触发来捕捉文字信息。在输入via hyperterminal 后。6.进入File f Import在工程目录中引入重要文件loopback_cs.cdc文件包含了触发和数据信 号的网络名字,根据设计定义的。7. 指定匹配单元如下:Radix: binaryM0:TriggerPort0 (dat

22、a_present): Value 1M1:TriggerPort1(read_from_uart): Value 1M1:TriggerPort1(write_to_uart): Value 1Match UnitFunctionValueRadixCounter? MO:TriggerPortO1Bindisabled4/data p resent1f M1:TriggerPortl1Bindisabled/read from uart1? M2:TriggerPort21Bindisabled/write to uart1Figure 6-16. Setup the Match Unit

23、s8.在Trigger Condition Equation下点击field。在Sequencer栏下选择以下的选项,产生等式 M0 * M1 ,点击OK。Figure 6-17. Trigger Condition Equation9.检验 Storage Qualification 区域,选择 AND Equation,检验 M2,点击.Figure 6-18. Storage Qualification Equation步骤4执行片上的查证4在接下来的步骤中,你将会输入一个包含.cdc文件,你将会执行一个片上的确认, 仿真波形阅读器可以用来确认MAC设计的运行。1. 执行以下的操作生成一

24、个 8 输出的信号总线。 选择信号输出端口 到输出端口 。 点击重要信号,选择Add to Bus 9 New Bus生成新的output_port总线。Figure 6-19. Create a Bus2. 设置缓冲器深度为 16Type:WindowWindows:1 Depth:16Position:0Storage Qualification:MO &.M2Figure 6-20. Select Buffer Depth3.点击 Apply Settings and Arm Trigger 按钮。 T! | Q A卩口I/ Settingm wrd ArqriggE?Figure 6-21. Apply Settings and Arm Trigger3.输入“XilXi#l FPGA!,查看Chipscope分析器的信息。Figure 6-21. Verification Results结论:你插入一个 ILA 和 ICON 内核到 PicoBlaze 设计,在 Chipscope 分析器里建立触发条件,执 行片上确认,在 Chipscope-Pro 分析器里分析波形。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!