基于单片机的多功能定时器设计

上传人:仙*** 文档编号:171292210 上传时间:2022-11-25 格式:DOC 页数:40 大小:1.16MB
收藏 版权申诉 举报 下载
基于单片机的多功能定时器设计_第1页
第1页 / 共40页
基于单片机的多功能定时器设计_第2页
第2页 / 共40页
基于单片机的多功能定时器设计_第3页
第3页 / 共40页
资源描述:

《基于单片机的多功能定时器设计》由会员分享,可在线阅读,更多相关《基于单片机的多功能定时器设计(40页珍藏版)》请在装配图网上搜索。

1、目 录1 引 言12 概 述22.1 定时开关电源插座系统概述22.2 本设计方案思路22.3 研发方向和技术关键32.4 主要技术指标33 总体设计43.1 可控开关设计的选择43.2 时钟信号的实现63.3 译码方案的选取64 硬件设计104.1 可控开关电路124.2 电平转换电路124.3 单片机系统电路144.4 显示电路165 软件设计175.1 总体方案175.2 主程序流图175.3 中断模块说明186 制作与调试196.1 硬件电路的布线与焊接196.2 调试206.3 改进与扩展207 结 论21致 谢23参考文献23附 录241.引言随着电子技术和电源技术的发展,开关电源

2、以体积小、重量轻、功率密度大、集成度高、输出组合便利等优点而成为电子电路电源的首选。定时开关电源插座,即可以定时打开或关掉电源的插座,这样既能省电又方便用户的个性化使用。 2 概 述2.1定时开关电源插座系统概述本文设计的定时开关电源插座电路系统1主要是利用单片机P89V51RD2FN作为主控制元件,通过外围电路控制可控开关的通断以达到定时开、关的目的。P89V51具有体积小、功能强大、运行速度快、价格低廉等优点,非常适合制作集成度较高的控制电路。通过键盘键入程序控制可控开关和译码器来实现数码管的显示。主板电路包括MCU P89V51 、键盘与显示、输入与输出口、可控开关和稳压等电路组成。2.

3、2本设计方案思路本设计实现通过定时电路来控制电源插座开关的通断,和时钟电路的显示为主要目的;以时钟信号的检测,信号控制,信号译码和数据显示为主要设计内容。定时器是本设计系统中的重点,时间控制器(即定时器)既可以通过纯硬件实现,也可以通过软硬件结合实现,根据时间控制器的核心部件秒信号的产生原理,通常有四种形式,如下所述。(1)采用石英钟专用芯片的实现形式采用石英钟专用芯片的实现的时间控制器,具有实现简单、计时精度高的特点。石英计时芯片比较多,常用的型号有STP5512F、SM5546A和D60400等。如结合利用5512F的2秒输出信号作为秒信号电路的计数脉冲,可实现电子时钟。(2)采用NE55

4、5时基电路的实现形式采用NE555时基电路或其他振荡电路产生秒脉冲信号,作为秒加法电路的时钟信号或微处理器的外部中断输入信号,可构成时间控制器。由555构成的秒脉冲发生器电路2如图1-1所示。输出的脉冲信号Vo的频率f=1.443/(Ra+2Rb)*C,可以通过调节这3个参数,使输出Vo的频率为精确的1HZ。但这类定时器精度低,脉冲周期由外接的电阻和电容决定,常用于旋转灯光控制等。(3)采用单片机常用的时钟芯片以前,通常采用并行的实时时钟芯片计时、EEPROM作为存储器,但对一些微小型智能控制设备而言,并行实时时钟芯片封装形式大,再加上EEPROM,占用扩展线多,使电路结构很难进一步简化。Da

5、llas公司生产的串行实时时钟芯片DS13023具有实时时钟和静态RAM,采用串行通信,可方便地与单片机接口。除了在工业控制中使用外,还可以应用到一般的时钟计数上。 (4)用软件来实现定时通常利用单片机或多媒体或PLC内部的定时器,编写大量的源程序来设计,常称为软件定时器。电子定时器4可用一般数字电路搭建而成,一台四位数的定时器要用十多片数字电路组成,电路结构复杂、体积庞大,而且功能有一定的局限性。在进行定时电路设计时,如果需要定时的时间不是很精确且时间较短的话,往往采用555定时集成电路来实现。然而,若需要定时的时间较长(如1小时以上)则采用专用的集成电路定时器比较方便5,而且使用定时器专用

6、集成电路所设计的应用电路比较简单,同时调试也比较容易。本设计采用单片机作为主硬件电路,外围电路简单,配合软件设计,使用其灵活的编程实现定时,译码和时间显示等,使定时器插座可有更多的扩展功能选择。2.3 研发方向和技术关键(1)合理选取定时器方案,提高系统的精度;(2)交直流电压转换;(3)多路优先译码器的选取及扩展;(4)与微机连接进行程序的汇编输入,实现对定时功能的调试;(5)显示部分中数码管的四位一体共阴接法。2.4 主要技术指标(1)具有电子钟功能,显示为四位数(2) 可设定定时起动(开始)时间与定时结束(关断)时间(3)定时开始,指示灯亮;定时结束,指示灯灭(4)定时范围可以选择(5)

7、 开关次数:2次/天(6) 时钟日差:2秒/天(7)工作温度范围:-1050(8)工作条件:AC220V,10A,50Hz(9)使用范围:办公室电源开关、实验室电源开关等(10)插头插座孔型:插头国标三扁型3 总体设计单片机虽然种类繁多,但每片单片机内部结构都大同小异,均由控制器、运算器、存储器、输入端口、输出端口等组成。各个厂商制成了多种型号的单片机。任何一种单片机不论功能如何强大,都是通过其I/O口来发辉作用的,用户可根据所需来选择单片机的型号,引脚最少从8脚到近百脚的都有。本设计用单片机设计的体积小巧的定时器来控制电源开关插座的通电和断电,并还能作为一台数字钟使用实现时间显示。根据需要选

8、用了一片40条引脚的P89V512FN单片机6,属于飞利浦80C51系列单片机,带64KB闪存和1024字节RAM 。并且P89V51系列单片机内部包含64位FLASH的ISP(在线可编程系统)和IAP(在应用编程)。其设计的几个基本模块如下图31,包括:插头插座(孔型),控制开关,电平转换器,单片机系统,显示电路部分。三扁平插 座可控开关单片机芯 片LED显示AC/DC变压器键 盘220V50Hz 图31 定时开关电源插座的设计原理框图3.1可控开关设计的选择本设计中的定时操作是通过可控开关收到外部电路的控制信号后延时通断的。其中延时实现方式分类一般按常规可分为以下几种:a、通电延时;b、接

9、通延时;c、断电延时;d、断开延时;e、(间隔)定时;f、往复延时;g、星三角启动延时;h、程序式延时。3.1.1方案一选用普通晶闸管又叫可控硅,一种以硅单晶为基本材料P1N1P2N2四层三端器件,由于它特性类似于真空闸流管,所以国际上通称为硅晶体闸流管,简称可控硅T。又由于可控硅最初应用于可控整流方面所以又称为硅可控整流元件,简称为可控硅SCR。在性能上,可控硅不仅具有单向导电性,而且还具有比硅整流元件(俗称“死硅”)更为可贵的可控性。它只有导通和关断两种状态普通可控硅在电路中最基本的用途就是可控整流。大家熟悉的二极管整流电路属于不可控整流电路。如果把二极管换成可控硅,就可以构成可控整流电路

10、。3.1.2方案二继电器是一种电子控制器件,它具有控制系统(又称输入回路)和被控制系统(又称输出回路),通常应用于自动控制电路中,它实际上是用较小的电流去控制较大电流的一种“自动开关”。故在电路中起着自动调节、安全保护、转换电路等作用。它是一种当输入量(电、磁、声、光、热)达到一定值时,输出量将发生跳跃式变化的自动控制器件。其中电磁继电器是在输入电路内电流的作用下,由机械部件的相对运动产生预定响应的一种继电器。电磁继电器的工作原理和特性如下:电磁式继电器一般由铁芯、线圈、衔铁、触点簧片等组成的。只要在线圈两端加上一定的电压,线圈中就会流过一定的电流,从而产生电磁效应,衔铁就会在电磁力吸引的作用

11、下克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触点(常开触点)吸合。当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回原来的位置,使动触点与原来的静触点(常闭触点)吸合。这样吸合、释放,从而达到了在电路中的导通、切断的目的。对于继电器的“常开、常闭”触点,可以这样来区分:继电器线圈未通电时处于断开状态的静触点,称为“常开触点”;处于接通状态的静触点称为“常闭触点”。 还可选用时间继电器,时间继电器是一种利用电磁原理和机械原理实现延时控制的控制电器,一般可分为通电延时型和断电延时型两种类型。断电延时时间继电器里有延时常开接点、延时常闭接点、瞬时常开接点和瞬时常闭接点。 其原

12、理如下: 当断电延时时间继电器的“线圈”断电开接点时, 延时常开接点经过设定时间后断开 ;延时常闭接点经过设定时间后接通;瞬时常开接点立刻断开; 瞬时常闭接点立刻接通。3.1.3方案选取可控硅优点如下:无触点,开断无涌流,开端速度快,可以控制过零开断。缺点:成本高,控制相对复杂,容量小,功耗大,发热严重;继电器优点:技术成熟可靠,触点容量相对较大,成本低,几乎零功耗,发热量小。缺点:开断时会产生涌流,由于反应稍慢,无法用于很精细开断控制电路中,如移向调压等。 综合考虑两种可控开关各自的优缺点,在本设计中最终是采用电磁继电器通断主电路,主要是考虑到继电器结构简单,消耗电能少体积小,成本低,且控制

13、时动作快、可靠性好。这类继电器在制成电灯定时节电开关或电风扇的定时并调速开关或电热器的定时并调温开关,或电灯的定时并调光开关或通用的定时并调压插座都有广泛的应用。 3.2时钟信号的实现在单片机的内部RAM中,需要设置显示缓冲区,显示的时分秒值是从显示缓冲区中取出的,在RAM中设置四个单元作为显示缓冲区,分别是7AH、7BH、7CH。为使电路和原理叙述方便,我们这里不显示秒值,秒的进位我们通过闪烁分值实现。这样我们一共有四位LED分别显示时和分值,同时时钟都需要校准的。在程序中还需设置显示码表,要显示的数值通过查表指令将显示用的真正码值送到LED上。我们用单片机P89V51RD2FN7的P1.5

14、,P3.6和P3.7这三个I/O口外接微动开关来实现时和分的校正,其中P1.5实现每按一次小时或分值加1,连续按下数值累计下去,实现时钟的校准。时钟的最小计时单位是秒,但使用单片机定时器来进行计时,若使用6.0MHz的晶振,即使按工作方式1工作,最大的计时时间也只能到131ms,所以我们可把每个定时时间取125ms,这样定时器溢出8次(125ms8=1000ms)就得到最小的计时单位秒。而要实现8次计数用软件方法实现是轻而易举的。我们使用定时器1,以工作方式1工作,定时器进行125ms定时。采用中断方法进行溢出次数的累计,当计满8次即得到1秒的计时。一个时钟的计时累加,要实现分、时的进位,要用

15、到多种进制,秒、分、时中的进位是十进制,秒向分进位和分向时进位却是六十进制,而每天又有十二小时制或二十四小时制,它们分别又是十二进制和二十四进制。从秒到分和从分到小时可以通过软件累加和数值比较方法实现。3.3译码方案的选取 本设计显示电路可分为三大块:键盘电路,输入端译码电路和输出控制端数码显示电路。显示电路端译码通过硬件译码或软件译码都可以实现。3.3.1方案一硬件译码,即上面的三大显示模块和显示段码完全由硬件电路设计实现,具体的硬件电路如下图32。对于相应的本系统中单片机,我们可选用简化的51系列单片机AT89C20517(20管脚),显示电路主要由七段共阳显示译码器74LS47、3线8线

16、译码器74LS138、4个PNP型三极管和四个数码管组成。通过AT89C2051的P14P17口将要显示字符的BCD码输出到74LS47的四个输人端,然后译码并输出相应的笔段来驱动LED数码管(共阳)。图32 硬件译码的电路实现图32电路图中数码管的低电平段选信号由P1口的P10P16输出,其真值表见下表31。P17输出秒闪烁信号,P3口的P30、P31输出位选信号给74LS138。74LS138被选中的端口输出低电平,PNP三极管导通,对应的数码管点亮。P32、P33、P34、P35分别作“调时”、“调分”、“定时开”、“定时减”的功能按钮开关,P37输出控制信号,使双向可控开关通电或断电,

17、控制“输出插座”接通或断开220V交流电,从而控制外接电器的工作状态。其中A/D转换器采用宽工作电压,单输入通道,串行I/O接口8位A/D转换器TLC548CP。表3.1 09显示真值表其中P32、P33、P34、P35分别作“调时”、“调分”、“定时开”、“定时减”的功能按钮开关;P37输出控制信号,使继电器线圈通电或断电,控制“输出插座”接通或断开220V交流电,从而控制外接电器的工作状态。数码管选用四位一体共阳接法,每个数码管由7段笔划组成,每段笔划由一只数码管点亮,其管压降为1.72.2V、电流520毫安。LED数码管显示采用动态扫描方式,见下图33。即在某一时刻,只有一个数码管被点亮

18、。数码管的位选信号由AT89C2051的P33P35输出,并经74LSl38译码后通过三极管放大,以驱动相应的数码管。本设计译码电路选取74LS138译码集成芯片,其管脚分布如下图34,用来驱动4个LED,从功能表上可以看出它的输出只有一个低电平,也就是可以用来用灌电流的方式进行驱动LED,工作电压Vcc=5V,输出可以直接连接LED,没有带来不稳定因素,当然实际中应该串接保护电阻,估计常用的200-300欧姆都可以。 图33 LED动态扫描电路图34 74LS138的管脚分布图从总的设计可以看出,单片机的控制输出是通过P30P32口完成的。当程序开始时,这三个口的输出状态都是低电平,AT89

19、C2051通过程序查询三路输出的ON或OFF状态预置时间是否已到,若时间到,则改变相应的输出状态,以完成对外部电路的控制。3.3.2方案二软件译码,即上面的三大显示模块和显示段码完全由软件设计实现。对于硬件译码来说,扩展多片的外部程序存储器采用多片的ROM扩展时,其片选信号CS的处理方法若采用全硬件实现,优点是扩展的各个EPROM的地址空间可以是连续的,能得到64K的完整空间;缺点是电路结构复杂,需附加译码器电路,常用的如上面提到的74138。由于单片机本身具有较强的逻辑控制能力,采用软件译码并不复杂。其译码逻辑可以随意编程设定,不受硬件逻辑限制,同时还能简化硬件电路结构。因此,在单片机应用系

20、统中使用非常广泛。综上,本设计LED译码和显示模块就是采用软件译码实现,程序编写用C语言。作为一种结构化的程序设计语言,C语言的特点就是可以使你尽量少地对硬件进行操作,具有很强的功能性、结构性和可移植性,常常被优选作为单片机系统的编程语言。用C 编写程序比汇编更符合人们的思考习惯,开发者可以摆脱与硬件无必要的接触,更专心的考虑功能和算法而不是考虑一些细节问题,这样就减少了开发和调试的时间。C语言具有良好的程序结构,适用于模块化程序设计,因此采用C语言设计单片机应用系统程序时,首先要尽可能地采用结构化的程序设计方法,将功能模块化,由不同的模块完成不同的功能,这样可使整个应用系统程序结构清晰,易于

21、调试和维护。不同的功能模块,分别指定相应的入口参数和出口参数,对于一些要重复调用的程序一般把其编成函数,这样可以减少程序代码的长度,又便于整个程序的管理,还可增强可读性和移植性。 4 硬件设计本设计的硬件电路包括单片机P89V512FN电路、键盘输入与数码显示输出、信号输入与输出口、三孔扁平插座,可控开关和稳压器等电路组成。具体主要有三个模块:单片机控制数码显示模块;插座串接继电器模块;AC/DC5V输出稳压模块(如下图41)。随着外加220V/50HZ的交流电加到插座的同时,AC/DC实现电压交直流的转换,把220V的交流电变为5V的直流电用于SRD电磁继电器的工作电压。可控开关装置中的电磁

22、继电器8收到单片机高低脉冲电平的变化相应做出吸合或断开的指令控制,从而控制插座电源的通断。而单片机软件编程通过串口输入和USB接口主要实现键盘、LED显示等各模块的功能,采用C语言编程,来控制译码器译码以及数码管显示。本设计硬件部分电路图见下图42,最终完成的硬件实物图见附录2。其中本系统的核心单片机MCU P89V512FN为40脚600MIL封装,是CMOS型飞利浦80C51系列单片机,带有2KB 闪存E2PROM型。该单片机除了少了两个并口外,能兼容MCS-51系列单片机的所有功能,且具备体积小、功能强、运行速度快等特点。该电路可通过单片机的P37口连接一个键盘电路来实现对参数的人工自由

23、设定,同时可通过串口连接4位LED数码管,以分别显示小时、分钟和秒。系统定时启动是通过P30口完成的。程序开始时这三个口的输出状态都是低电平,P89V512FN通过程序查询P30口输出ON或OFF的状态预置时间是否已到,如果已到时间,则改变相应的输出状态,从而完成对外部电路的控制。单片机控制LED模块AC/DC稳压模块插座串接继电器模块220V输入P1.7控制信号220V 5V输出供电图41本设计的三大模块图42 定时部分硬件电路图如上图4-2,上半部分是数码管显示电路;下半部分是由桥式整流二极管和LM7805组成的AC/DC稳压电路,将220V的交流电压整流,滤波后输出直流5V电压用于单片机

24、的工作电压;中间部分是由四个按扭开关和单片机相应管脚(P1.4,P1.5,P3.6,P3.7)连接,分别对应LED时间显示模式控制(开关SW1)、操作控制(SW5)、执行加键(开关SW2),执行减键(开关SW3)。此外还有单片机外接热敏电阻,复位键(开关SW4)以及蜂鸣器可以用来实现温度测量和自动温度报警。4.1可控开关电路 图43 继电器结构图继电器(relay)也是一种电门,但与一般开关不同,继电器并非以机械方式控制,而是一种以电磁力来控制切换方向的电门。当线圈通电后,会使中心的软铁核心产生磁性,将横向的摆臂吸下,而臂的右侧则迫使电门接点相接,使两接点形成通路。本设计中选用继电器型号为SR

25、D-05VDC-SL-C,5接脚,如上图43。其中一边的两脚工作状态分别为衔铁动静触点闭合或断开(低压控制电路时);额定工作电压,即继电器正常工作时线圈所需要的电压,本设计中选用的继电器的额定工作电压为5V直流电压。利用直流电流触发并控制延时,在延时过程中可不影响主电路而延时递增。在本次设计过程中,将继电器与普通电源插座串接起来,三接点中间的那个脚脚4接电源插座的火线,另外两接脚中接脚3接单片机的控制信号引出脚,另一接脚5和控制信号引出脚连共地端。特别需要注意的是,在焊接继电器前要用万用电表测试其五个管脚以确保正确连接。当接脚3和接脚5之间加5V电压时,接脚4和接脚2导通,电源插座开关可正常工

26、作;当接脚3和接脚5之间电压为0时,接脚4和接脚1导通,电源插座开关不工作,从插座正常工作到不工作的这段时间即为定时操作,可通过软件编程设置定时(闹铃)程序实现。4.2电平转换电路 4.2.1 LM7805稳压电路在不同的数字系统中,其电平标准是不同的。该系统中就包括了220V交流输入和5V的TTL电平标准,要实现两个标准的正常通信,必须进行电平转换。该系统采用使用简单的LM7805芯片。如图44所示电路为输出电压+5V、输出电流1.5A的稳压电源。它由电源变压器B,桥式整流电路D1D4,滤波电容C1、C3,防止自激电容C2、C3和一只固定式三端稳压器7805极为简捷方便地搭成的。 图44 L

27、M7805稳压电路220V交流市电通过电源变压器变换成交流低压,再经过桥式整流电路D1D4和滤波电容C1的整流和滤波,在固定式三端稳压器LM7805的Vin和GND两端形成一个并不十分稳定的直流电压(该电压常常会因为市电电压的波动或负载的变化等原因而发生变化)。此直流电压经过LM7805的稳压和C3的滤波便在稳压电源的输出端产生了精度高、稳定度好的直流输出电压。本稳压电源可作为TTL电路或单片机电路的电源。三端稳压器是一种标准化、系列化的通用线性稳压电源集成电路,以其体积小、成本低、性能好、工作可靠性高、使用简捷方便等特点,成为目前稳压电源中应用最为广泛的一种单片式集成稳压器件。注意问题:17

28、905的引脚定义,5V输出电压上电容的方向(不过本设计只取5V即可);27905空载时测量输出在6V左右。加上负载,输出正常;37805驱动电流可达1A。实际测量时运行时电流200300mA,7805会发热,温度有50度左右,布线时该器件的摆放应考虑散热。4.2.2RS232电平转换电路 由于本设计中的单片机电路要用到串口输入,而电脑串口RS232电平是-10V,+10V,P89V51单片机应用系统的信号电压是TTL电平0,+5V,故需进行电平转换。 本设计采用MAX232EPE9进行电平转换,该产品是由德州仪器公司(TI)推出的一款兼容RS232标准的芯片。该器件包含2驱动器、2接收器和一个

29、电压发生器电路提供TIA/EIA-232-F电平。该器件符合TIA/EIA-232-F标准,每一个接收器将TIA/EIA-232-F电平转换成5-V TTL/CMOS电平;每一个发送器将TTL/CMOS电平转换成TIA/EIA-232-F电平。该芯片单5V电源工作,特点是低电源电流,典型值是8mA。如下图44,为MAX232双串口的连接图,可以分别接单片机的串行通信口或者实验板的其它串行通信接口。图45 RS232双串口连接图4.3单片机系统电路4.3.1单片机P89V51简介单片微型计算机作为微型计算机的一个分支,于今它已成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用的计算

30、机。应用方面,本设计中涉及的电子定时器也可用一般数字电路搭建而成,一台四位数的定时器要用十多片数字电路组成,电路结构复杂、体积庞大,而且功能有一定的局限性。但如用单片机制作定时器10,外围电路简单,用其灵活的编程,使定时器可有更多的功能选择。本设计中的单片机芯片P89V51RD2FN是由飞利浦公司生产的,属于80C51系列单片机的一类。它为40管脚,工作电压为5V,片内有振荡器和时钟电路,时钟电路的频率范围从0兆赫至40兆赫,4 个8位并行I / O口,3个16位定时器/计数器,8个中断源与四个优先级,1个全双工串行口(SIO/UART),内置1个布尔处理器和1个布尔累加器(Cy),内含64K

31、B的单晶片Flash的ISP(在线可编程系统)和IAP(在应用编程),可应用于设计可编程看门狗定时器。芯片管脚图见下图46,具体的各管脚功能介绍见附录1。图4-6 P89V51RD2FN信号引脚图4.3.2 P89V51RD2的时钟电路 时钟电路是用于产生供单片机各部分同步工作的时钟信号。具体的产生有两种方法,如下图47,一是用单片机内部的石英晶体振荡器外接电容构成振荡电路;二是从外部输入时钟信号。本设计时钟电路采用的是第一种方法。P89V51RD2的一个显著的特点是它有两种时钟模式(X1模式和X2模式)。X1模式下一个机器周期时间为12个时钟周期(即晶振频率fosc的倒数),而在X2模式下一

32、个机器周期时间为6个时钟周期,从而可以加速器件的运行速度。时钟加倍模式只可用于加倍内部系统时钟和内部Flash存储器(即EA=1)。在访问外部存储器和外围器件时要特别小心,还要注意晶振的输出(XTAL2)是不能加倍的。且时钟加倍模式可通过外部编程器或IAP来实现。当该模式被选择时,FST寄存器的EDC位用来指示6时钟模式。此时FSTFlash状态寄存器的位分配(地址:B6H),不可位寻址;复位值:xxxxx0xxB。如下图48。 图47 时钟电路的两种实现(时钟电路的参数:频率范围040MHZ;C1,C2 2030pF)图4-8 X2模式下的位分配4.4显示电路用单片机驱动LED数码管11有很

33、多方法,按显示方式分,有静态显示和 动态(扫描)显示,按译码方式可分硬件译码和软件译码之分。静态显示就是显示驱动电路具有输出锁存功能,单片机将所要显示的数据送出后就不再管,直到下一次显示数据需要更新时再传送一次新数据,显示数据稳定,占用很少的CPU时间;动态显示需要CPU时刻对显示器件进行数据刷新,显示数据有闪烁感,占用的CPU时间多。这两种显示方式各有利弊:静态显示虽然数据稳定,占用很少的CPU时间,但每个显示单元都需要单独的显示驱动电路,使用的硬件较多;动态显示虽然有闪烁感,占用的CPU时间多,但使用的硬件少,能节省线路板空间。硬件译码就是显示的段码完全由硬件完成,CPU只要送出标准的BC

34、D码即可,硬件接线有一定标准;软件译码是用软件来完成硬件的功能,硬件简单,接线灵活,显示段码完全由软件来处理,是目前常用的显示驱动方式。本设计就采用软件译码来实现,且单片机驱动数码管的显示采用动态扫描显示的方式。5 软件设计5.1总体方案硬件电路一旦决定,可根据电路的结构编制软件,并且决定它所应达到的功能。本设计用了4位数码管及4个按键,根据既定的目标具有定时及时钟的功能。程序应在定时器工作的同时也要启动时钟的时钟工作。该系统显示电路部分的控制信号检测与数据传送部分,涉及的软件部分较多,主要是P89V51RD2FN单片机12数据串接口通信及通信协议的程序设计。本设计中用定时芯片制作定时器的关键

35、是从P89V51芯片P1.7端口引出控制信号,随着P1.7高低电平的变化,通过键盘键入程序控制实现继电器的吸合和上扬,来控制电源插座通断以实现定时控制目的。即当继电器接脚3和接脚5之间加来自P1.7端口的5V电平信号时,接脚4和接脚2导通,电源插座开关可正常工作;当键入定时程序控制定时后,接脚3和接脚5之间电平信号为0时,接脚4和接脚1导通,电源插座开关关闭。此外如要扩展功能,采用单片机C语言编程可实现时间显示、时间校准、温度显示和温度上限报警、定时(闹钟)功能、跑表等各模块。 对于P89V51的程序设计,由于所需实现的功能较简单,采用C语言编译形式。编译器采用Keil uVision2 13

36、。Keil uVision2标准C编译器为8051微控制器的软件开发提供了C语言环境,同时保留了汇编代码高效,快速的特点。C51编译器的功能不断增强,使你可以更加贴近CPU本身,及其它的衍生产品。Keil 编译器可为人们提供单一而灵活的开发环境,C51已被完全集成到Keil的集成开发环境中,这个集成开发环境包含:编译器,汇编器,实时操作系统,项目管理器,调试器,Keil uVision2 可为它们提供单一而灵活的开发环境。5.2 主程序流图 本系统的主程序工作过程是首先循环进行四个数码管的扫描显示14 (DISPLY段),然后比较所有预置时间(COMP段)是否与当前时间相等,如相等则转向相应处

37、理程序。比较完成(或处理完成)后,再判断有无按键(PP2段)按下,没有则返回继续显示、比较、判断;有按键按下则转向相应的处理程序。按键转移采用偏移量加表格跳转转移法(KEY段)。预置时间比较则采用逐一比较法,即对每一个预设的值都进行比较,如果相等,则进行相应的处理。在具体比较时(COMP1段),首先比较TH值,如不相等,则直接转出并置“时间到”标志CCB为0,而如果TH、TM、TS全部对应相等,则置该标志为1,其软件流程见下图51所示。图51主程序流程图 图52中断子程序流程图程序用C语言编写,定时和时钟计时信号由单片机内部定时器T1产生的毫秒级信号,中断溢出后在RAM的40H单元中经多次累加

38、输出1秒信号,作为计时的基本计数单元。待显示的数据放在以下RAM单元中,(1)时钟部分:46H小时十位数,45H小时个位数,44H分钟十位数,43H分钟个位数;(2)定时部分:4AH分钟十位数,49H分钟个位数,48H秒十位数, 47H秒个位数。通电后,程序初始化使4BH单元被置1,进入了同时打开定时器,时钟开始走时,显示12: 00,秒信号在41H中累加60次,向48H单元作加1运算,这时数码管将显示12:01,满60分将向小时进位而显示1:00。如果按动“调时”、“调分”键即可调整时间。具体的主程序见附录3。5.3中断模块说明程序初始化后就进入了“定时中断”子程序,其程序流程图见上图52。

39、一系列的运算是在中断产生后进行的15,具体的中断服务程序见附录3。在程序计数运算中,小时应作12进制或24进制运算、分钟要作60进制运算;而定时工作时应作99分钟倒计时及的60进制倒计时减运算。6 制作与调试6.1硬件电路的布线与焊接6.1.1总体特点该系统所涉及的各部分硬件电路,总体的特点是:(1)电路原理简单,所用的器件均为常用器件;(2)由于路数较多,电路的规模较大,因此在制作中只做了8路。因此,应合理布线,以降低焊接难度,降低出错率,同时防止干扰。6.1.2 电路划分与PCB的制作主板的制作与调试主板的制作稍微复杂一点。首先是制作印刷板,利用Protel99按照本文所示器件位置图放置好

40、元器件,然后手动布线(双面),线宽为0.8mm左右,太宽做出的板子太大,太窄无法进行自制。绘好印制板图后转成BMP格式利用电脑刻字机镂空(要用进口的即时贴纸,不然容易断开),贴在双面敷铜板上,就可以用FeCl3腐蚀了。具体的制作方法这里不再赘述,但最好在印制板布线时做个阻焊层,同时在即时贴上刻出来,当板子制好清洗干净后敷在上面,用浅绿色油漆或清漆喷上薄薄的一层,好看又防腐蚀。PCB的制作关键是布局和布线的问题,而布局和布线不是截然分开的,布局是为布线服务,布线为的实现布局的目标。显然,完成布局不是就不一定能布好线,布局只是布好线的第一步和最基础的一步。接下来的问题是跳线。跳线就要打乱原先画好的

41、原理图。一个元件转个方向就可能导致布线发生的改变,两个或多个改变,就更麻烦了。布局过程中的应在每一次改变方案之前就有了种种考虑,不但包括改变面谈布局后的跳线问题,甚至还包括不行之后的其它考虑都会在其中。反复的尝试,得出一个合理的布局,尽量做到电路的走线最优先、最简捷、最有效。制板中注意事项如下:1.模拟与数字电路合理分开,普通信号线容易能以很简练的方式完成走线。2.高速信号线、主信号线可以得到最优先、最简捷、最有效的走线。3.电源线在走向上容易形成合理的回路和分支。4 .热设计合理,有利于系统日后工作中散热纳凉,发热元件较合理地远离模拟电路且工作时不熏烤这些电路。5. 印刷板的制作特别要注意的

42、是在布线时对220V市电进入和输出(包括中线)的线宽设计要宽一点(根据工作电流大小来定为好),还要注意市电与直流电源的隔离,以免在使用中造成触电事故。6.1.3 焊接元器件购回后应先进行预处理(引脚打磨、上焊锡),然后逐一焊接。在焊接MCU和其它集成电路时应使用有良好接地的烙铁(断电焊接也可),以免被击穿。由于双面印刷板存在一个穿孔问题,器件引脚穿过后,两面都要点上焊锡;如只是过孔,可用细铜线穿过并在两面焊接后剪掉即可。在印刷板制作良好,连线没有不应有的开路或短路,且焊接没有虚焊的情况下,不用调试即可正常工作。焊接前应熟悉各芯片的引脚,焊接时参照电路图,仔细地连接引脚。按照以下原则进行焊接:(

43、1)先焊接各芯片的电源线和地线,这样确保各芯片有正确的工作电压;(2)同类的芯片应顺序焊接,在一片焊接并检查好之后,其他的同类芯片便可以参照第一片进行焊接。这样便可大大节省时间,也可降低出错率。6.2调试本设计调试通过硬件中的串口接口通信和USB接口连接微机,采用Keil uVision2调试。uVision2包含一个器件数据库(device database),可以自动设置汇编器、编译器、连接定位器及调试器选项,来满足用户充分利用特定微控制器的要求。uVision2编辑器它包含了所有用户熟悉的特性,彩色语法显像和文件辩识都对C源代码进行和优化。它可以在编辑器内调试程序,能提供一种自然的调试环

44、境,使你更快速地检查和修改程序。6.3改进与扩展 本设计成品中的四个按钮开关控制使用了单片机P89V51RD2FN的P1.4 (模式控制开关),P1.5(操作控制开关),P3.6(执行加键),P3.7(执行减键)。这四个按钮开关通过软件设计模式控制端可控制时钟显示(case 0),时钟校准(case 1),跑表显示(case 2),定时(闹钟)设定(case 3),显示温度(case 4)。其中定时(闹钟)操作,显示温度,跑表显示能,只需在软件编程时相应加入相应的程序,并在硬件电路中相应接入热敏电阻和蜂鸣器即可。当然譬如年月日、农历、星期的计算(如大月小月、闰年、闰月等)分别汇编相应的子程序插

45、入也可实现。7 结 论本设计方案达到了任务书的要求,实现了定时开关电源插座的定时,时间显示的电子钟功能,实现了于今一种较为先进且简单实用的节约能源模式的展望。但设计中有下面几个问题需要注意:(1)由于220V强电输入,在焊接及调试电路时要非常小心;(2)前端220V交流输入后经LM7805稳压后输出5V,误差较大;(3)设计中选用的单片机P89V51所用的工作电源是连接计算机USB接口的5V电源,如需做成市场上的成品,这一点还需要改进;(4)由MCU 控制的译码采集和串行传送也调试实现(通过与计算机的串口相连,用“串口调试程序”调试),信号处理电路通过串口连接到计算机,应用参考书上设计的“定时

46、汇编程序”软件进行总体调试,实现对时钟的显示和定时。由于时间、水平和经验有限,在硬件的调试、软件编码及抗干扰等方面仍有不足之处,有改进的余地,比如电路规模的精简,其他的保护电路,抗干扰处理。这次毕业设计对于我来说,既是一次机遇,又是一次挑战。通过这次的毕业设计,我学到了很多东西,通过自己的实践,增强了动手能力。通过实际工程的设计也使我了解到书本知识和实际应用的差别。在实际应用中遇到很多的问题,这都需要我对问题进行具体的分析,并一步一步地去解决它。致谢在这几个月的时间里,从对课题的理解,方案的设计,到电路的制作,再到论文的写作,中间有着自己的努力,更有着老师和同学的关心和巨大的帮助。感谢胡体玲老

47、师在很忙的情况下,为我讲解课题的要点,引领设计的思路。她对学生认真负责的态度让我由衷地敬佩。感谢冯世柱和孙海连同学给予我无私的帮助,他们对我所遇到的难题的解答让我受益匪浅。感谢杨老师对我们的关心照顾。感谢母校和老师们在大学四年中对我的培养。参考文献1 魏军丞. 用单片机制作的定时开关控制器. 电子世界,2005(10):25-272 曾若渊. MCS-51定时器/计数器在出租车计价器中的应用. 现代测量与实验室管理,2005,19(01):24-253 崔惠柳. 单片机应用技术选编:串行时钟芯片DS1302在汽车智能记录仪中的应用.北京:北京航空航天大学出版社,19994 张晓军,罗翔,史金飞

48、. 基于时间芯片的定时控制装置. 现代电子技术,2006,16(01):8-105 李响初. 基于MCS-51单片机的智能时钟控制系统设计. 世界电子元器件,2007(4):50-526 张俊谟编著. SoC单片机原理与应用. 北京:北京航空航天大学出版社,20077 吴汉清. 基于AT89C2051 单片机的倒计数定时器.单片机与可编程器件,2005,8(11): 28-308 田希晖, 薛亮儒编著. C51单片机技术教程. 北京:人民邮电出版社,20079 王为青,邱文勋编著. 51单片机应用开发案例精选. 北京:人民邮电出版社,200710 徐金增; 史斐翡. MCS-51软件消除定时中

49、断误差. 电子制作,2007(10):52-5311 朱蓉,郑建华. 基于MCS-51单片机定时精确控制的研究. 现代电子技术,2005,28(17):32-34 12 王振宇. 基于单片机设计的多功能定时器. 贵州教育学院学报(自然科学),2005.8,16(4):79-8113 Fast Memory Transfers with the Ultra High-Speed Flash Microcontroller. http:/www. maxim-14 Paul C. de Jong and Ferry N. Toth .Measuring Duty Cycles with an In

50、tel MCS-51 Microcontroller. http:/www.smartec.nl/pdf/appsmt01.pdf15 80C51 8bit ;http:/cn.ic-on- 4628.htm附 录附录1 单片机内部结构和引脚功能附录1.1 单片机内部结构如下图附录图1 80C51内部结构框图附录1.2 引脚功能介绍1电源:Vss(20脚):接地;VCC(40脚): 主电源+5V。2. 时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。 XTAL1(19脚):接外部晶体的一端。在片内它是振荡电路反相放大器的输入端。在采用外部时钟时,对于HMOS单片机,该端引脚必

51、须接地;对于CHMOS单片机,此引脚作为驱动端。XTAL2(18脚): 接外部晶体的另一端。在片内它是一个振荡电路反相放大器的输出端,振荡电路的频率是晶体振荡频率。若需采用外部时钟电路,对于HMOS单片机,该引脚输入外部时钟脉冲;对于CHMOS单片机,此引脚应悬浮。3. 控制线:控制线共有4根。(1)ALE/PROG(30脚):地址锁存允许/片内EPROM编程脉冲; ALE功能:用来锁存P0口送出的低8位地址; PROG功能:片内有EPROM的芯片,在EPROM编程期间,此引脚输入编程脉冲。(2)PSEN(29脚):外ROM读选通信号。在访问片外程序存储器时,此端输出负脉冲作为存储器读选通信号

52、。CPU在向片外存储器取指令期间,PSEN信号在12个时钟周期中两次生效。不过,在访问片外数据存储器时,这两次有效PSEN信号不出现。PSEN端同样可驱动8个LSTTL负载。我们根据PSEN、ALE和XTAL2输出端是否有信号输出,可以判别80C51是否在工作。(3)RST/VPD(9脚):复位/备用电源。 RST(Reset)功能:复位信号输入端; VPD功能:在Vcc掉电情况下,接备用电源。(4)EA/Vpp(31脚):内外ROM选择/片内EPROM编程电源。 EA功能:内外ROM选择端。80C51单片机ROM寻址范围为64KB,其中4KB在片内,60KB在片外(80C31芯片无内ROM,

53、全部在片外)。当EA保持高电平时,先访问内ROM,但当PC(程序计数器)值超过4KB(0FFFH)时,将自动转向执行外ROM中的程序。当EA保持低电平时,则只访问外ROM,不管芯片内有否内ROM。对80C31芯片,片内无ROM,因此EA必须接地。 Vpp功能:片内有EPROM的芯片,在EPROM编程期间,施加编程电源Vpp。4.I/O线:80C51共有4个8位并行I/O端口:P0、P1、P2、P3口,共32个引脚。P3口还具有第二功能,用于特殊信号输入输出和控制信号(属控制总线)。其中P3口的功能如下:P3.0 (10脚) RXD:串行口输入端;P3.1 (11脚) TXD:串行口输出端; P

54、3.2 (12脚) INT0:外部中断0请求输入端; P3.3 (13脚) INT1:外部中断1请求输入端; P3.4 (14脚) T0:定时/计数器0外部信号输入端; P3.5 (15脚) T1:定时/计数器1外部信号输入端; P3.6 (16脚) WR:外RAM写选通信号输出端; P3.7 (17脚) RD:外RAM读选通信号输出端。5. 4个8位的I/0口 P0.0P0.7(39脚32脚),双向I/O(内置场效应管上拉)。寻址外部程序存储器时分时作为双向8位数据口和输出低8位地址复用口;不接外部程序存储器时可作为8位准双向I/O口使用; P1口:P1.0P1.7(1脚8脚),通用I/O口

55、(准双向口); P2.0P2.7(26脚21脚):输出高8位地址(用于寻址时是输出口;不寻址时是准双向口); P3.0P3.7(10脚17脚):具有特定的第二功能(准双向口)。附录2 硬件电路实物图附录3 时间显示及定时主程序#include #include #include /*端口定义*/sbit mode_button=P14; /模式控制sbit operation_button=P15;/操作控制sbit inc_button=P36;/执行加键sbit dec_button=P37;/执行减键sbit s3 = P13;sbit s2 = P11;sbit s1 = P12;sb

56、it s0 = P10;sbit bell= P27; /蜂鸣器控制sbit power_ctrl = P17;/继电器控制sbit Clock = P22; /时钟口线sbit DataOut = P21; /数据输出口线sbit ChipSelect = P20; /片选口线/*/*延时定义*/#define Wait1us _nop_();#define Wait2us Wait1us;Wait1us;#define Wait4us Wait2us;Wait2us;#define Wait8us Wait4us;Wait4us;#define Wait30us Wait8us;Wait8

57、us;Wait8us;Wait4us;Wait2us;/*/*共阳数码管代码*/code unsigned char table10 =0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90;unsigned char led4;unsigned char timer2_tick,mode,operation;unsigned char flag=0,timer=0,timer_second=0,timer_minute;s=0;/跑表时间int ring_hour=0,ring_minute=0;/闹铃时间unsigned char keys;un

58、signed char temp;/*当前时间*/typedef struct char hour; char minute; char second; time;time now=10,30,30;/*/*100MS延时*/void delay100ms(void)unsigned int i = 1 , j ;while(i-)j=9086;while(j-);/*/*延时程序*/void delay_ms1() /延时程序1 unsigned int i; for(i=0;i100;i+);void delay_ms2() /延时程序2 unsigned int i,j; for(i=0;i100;i+) for(j=0;j50;j+) ;/*/*AD转换程序*/unsigned char adc_conv(void) unsigned char i; unsigned char value; Clock=0; ChipSelect=1; Wait30us; ChipSelect=0; for(i=0;i8;i+) value=1; Clock=1; if(DataOut=1) value|=0x01;/value+=1; Clock=0; ChipSelect=1; return(value);/*/*LED动态扫描程序*/void display(void)

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!