浙江10月高等教育单片机原理及应用自考试题

上传人:无*** 文档编号:171175228 上传时间:2022-11-24 格式:PPT 页数:22 大小:609KB
收藏 版权申诉 举报 下载
浙江10月高等教育单片机原理及应用自考试题_第1页
第1页 / 共22页
浙江10月高等教育单片机原理及应用自考试题_第2页
第2页 / 共22页
浙江10月高等教育单片机原理及应用自考试题_第3页
第3页 / 共22页
资源描述:

《浙江10月高等教育单片机原理及应用自考试题》由会员分享,可在线阅读,更多相关《浙江10月高等教育单片机原理及应用自考试题(22页珍藏版)》请在装配图网上搜索。

1、电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-2002学习案例学习案例电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-2003 某商场欲安装一个由八种色调组成的霓虹灯工程,要某商场欲安装一个由八种色调组成的霓虹灯工程,要求可任意采用不同的色调依次循环,可改变循环的方向、求可任意采用不同的色调依次循环,可改变循环的方向、移动的位数及速率。其中霓虹灯是否移位及移位的方向用移动的位数及速率。其中霓虹灯是否移位及移位的方向用按钮来控制。假定首次扫描为两个相互间隔的彩灯同时闪按钮来控制。假定首次扫描为两个相互间隔的彩灯同时闪亮且循环时每次移一位。亮且循环时每

2、次移一位。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-2004 霓虹灯是否移位用霓虹灯是否移位用I0.0来控制;来控制;移位的方向用移位的方向用I0.1来控制;来控制;按照要求首次扫描初值定为按照要求首次扫描初值定为00000101,即,即1605;移位速率定为移位速率定为1秒钟。秒钟。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20051、单个传送指令单个传送指令MOVB,BIR,BIW,MOVW,MOVD,MOVR 单个传送指令每次传送单个传送指令每次传送l个数据,传送数据的类型分为字节传送、字传送、双个数据,传送数据的类型分为字节传送、

3、字传送、双字传送和实数传送。字传送和实数传送。(1)字节传送指令字节传送指令MOVB,BIR,BlW 字节传送指令可分为周期性字节传送指令和立即字节传送指令。字节传送指令可分为周期性字节传送指令和立即字节传送指令。周期性字节传送指令周期性字节传送指令MOVB 当允许输入当允许输入EN有效时,将一个无符号的单字节数据有效时,将一个无符号的单字节数据IN传送到传送到OUT中。中。周期性字节传送指令周期性字节传送指令MOVB的指令格式为:的指令格式为:MOVB IN,OUT。立即字节传送指令立即字节传送指令BIR,BIW。立即读字节传送指令立即读字节传送指令BIR:当允许输入:当允许输入EN有效时,

4、有效时,BIR指令立即读取指令立即读取(不考虑不考虑扫描周期扫描周期)当前输入继电器区中由当前输入继电器区中由IN指定的字节,并传送到指定的字节,并传送到OUT。在语句表中,立即读字节传送指令在语句表中,立即读字节传送指令BIR的指令格式为:的指令格式为:BIR IN,OUT。立即写字节传送指令立即写字节传送指令BIW的指令格式为:的指令格式为:BIW IN,OUT。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-2006(2)字传送指令字传送指令MOVW 字传送指令字传送指令MOVW将将1个字长的有符号整数数据个字长的有符号整数数据IN传送到传送到OUT。在梯形图中,。

5、在梯形图中,字传送指令以功能框的形式编程,当允许输入字传送指令以功能框的形式编程,当允许输入EN有效时,将有效时,将1个无符号的单个无符号的单字长数据字长数据IN传送到传送到0UT中。中。在语句表中,字传送指令在语句表中,字传送指令MOVW的指令格式为的指令格式为MOVW IN,OUT。(3)双字传送指令双字传送指令MOVD 双字传送指令双字传送指令MOVD将将1个双字长的有符号整数数据个双字长的有符号整数数据IN传送到传送到0UT。在梯形图中,双字传送指令以功能框的形式编程,指令名称为:在梯形图中,双字传送指令以功能框的形式编程,指令名称为:MOV_DW。当允许输入当允许输入EN有效时,将有

6、效时,将1个有符号的双字长数据个有符号的双字长数据IN传送到传送到0UT中。中。在语句表中,双字传送指令在语句表中,双字传送指令MOVD的指令格式为:的指令格式为:MOVD IN,OUT。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-2007传送类指令传送类指令(4)实数传送指令实数传送指令MOVR 实数传送指令实数传送指令MOVR将将1个双字长的实数数据烈传送到个双字长的实数数据烈传送到OUT。在梯形图中,实数传送指令以功能框的形式编程,当允许输入在梯形图中,实数传送指令以功能框的形式编程,当允许输入EN有有效时,将效时,将1个有符号的双字长实数数据个有符号的双字长实

7、数数据IN传送到传送到OUT中。中。在语句表中,实数传送指令在语句表中,实数传送指令MOVR的指令格式为:的指令格式为:MOVR IN,OUT。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-2008 2、块传送指令、块传送指令BMBBMB,BMWBMW,BMDBMD 块传送指令用来进行一次传送多个数据,将最多可达块传送指令用来进行一次传送多个数据,将最多可达255个的数据组成个的数据组成1个数个数据块,数据块传送指令把从输入(据块,数据块传送指令把从输入(IN)指定地址的)指定地址的N个连续字节、字、双字的个连续字节、字、双字的内容传送到从输出(内容传送到从输出(OUT

8、)指定地址开始的)指定地址开始的N个连续字节、字、双字的存储个连续字节、字、双字的存储单元中去。单元中去。数据块的类型可以是字节块、字块和双字块。数据块的类型可以是字节块、字块和双字块。字节块传送指令:字节块传送指令:BMB IN,OUT,N。字块传送指令:字块传送指令:BMW IN,OUT,N。双字块传送指令:双字块传送指令:BMD IN,OUT,N。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-2009 移位指令在移位指令在PLC控制中是比较常用的,根据移位的数据长度可分为控制中是比较常用的,根据移位的数据长度可分为字节型移位,字型移位和双字型移位;根据移位的方向可

9、分为左移和右字节型移位,字型移位和双字型移位;根据移位的方向可分为左移和右移,还可进行循环移位。移,还可进行循环移位。左移或右移指令的功能是将输入数据左移或右移指令的功能是将输入数据IN左移或右移左移或右移N位后,将结果送到位后,将结果送到OUT。指令的特点如下:指令的特点如下:1)、被移位的数据是无符号的。)、被移位的数据是无符号的。2)、在移位时,存放被移位数据的编程元件的移出端与特殊继电器)、在移位时,存放被移位数据的编程元件的移出端与特殊继电器SM1.1连接,移出位进入连接,移出位进入SM1.1(溢出溢出),另一端自动补,另一端自动补0。3)、移位次数)、移位次数N与移位数据的长度有关

10、,如与移位数据的长度有关,如N小于实际的数据长度,则小于实际的数据长度,则执行执行N次移位。如次移位。如N大于数据长度,则执行移位的次数等于实际数据长度大于数据长度,则执行移位的次数等于实际数据长度的位数。的位数。4)、移位次数)、移位次数N为字节型数据。为字节型数据。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20010(1)字节左移指令)字节左移指令SLB和字节右移指令和字节右移指令SRB 当允许输入当允许输入EN有效时,将字节型输入数据有效时,将字节型输入数据IN左移或右移左移或右移N位位(N8)后,送到后,送到OUT指定的字指定的字节存储单元。节存储单元。电气

11、控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20011(2)字左移指令)字左移指令SLW和字右移指令和字右移指令SRW 当允许输入当允许输入EN有效时,将字型输入数据有效时,将字型输入数据IN左移或右移左移或右移N位位(N16)后,送到后,送到OUT指定的指定的字存储单元。字存储单元。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20012(3)双字左移指令)双字左移指令SLD和双字右移指令和双字右移指令SRD 当允许输入当允许输入EN有效时,将双字型输入数据有效时,将双字型输入数据IN左移或右移左移或右移N位位(N32)后,送到后,送到OUT指定

12、的指定的双字存储单元。双字存储单元。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-200132、循环左移和循环右移指令、循环左移和循环右移指令指令的特点如下:指令的特点如下:1)被移位的数据是无符号的。)被移位的数据是无符号的。2)在移位时,存放被移位数据的编程元件的移出端既)在移位时,存放被移位数据的编程元件的移出端既与另一端连接,又与特殊继电器与另一端连接,又与特殊继电器SM1.1连接,移出位在连接,移出位在被移到另一端的同时,也进入被移到另一端的同时,也进入SM1.1(溢出溢出)。3)移位次数)移位次数N与移位数据的长度有关,如与移位数据的长度有关,如N小于实际的

13、小于实际的数据长度,则执行数据长度,则执行N次移位。如次移位。如N大于数据长度,则执大于数据长度,则执行移位的次数等于行移位的次数等于N除以实际数据长度的余数。除以实际数据长度的余数。4)移位次数)移位次数N为字节型数据。为字节型数据。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20014(1)、字节循环左移指令)、字节循环左移指令RLB和字节循环右移指令和字节循环右移指令RRB 当允许输入当允许输入EN有效时,把字节型输入数据有效时,把字节型输入数据IN循环移位循环移位N位后,送到由位后,送到由OUT指定的字节。指定的字节。电气控制及电气控制及PLCPLC技术技术西

14、门子西门子S7-200S7-20015(2)字循环左移指令)字循环左移指令RLW和字循环右移指令和字循环右移指令RRW 在梯形图中,字循环移位指令以功能框的形式在梯形图中,字循环移位指令以功能框的形式编程,指令名称分别为:编程,指令名称分别为:ROL-W和和ROR-W。当。当允许输入允许输入EN有效时,把字型输入数据有效时,把字型输入数据LN循环移循环移位位N位后,送到由位后,送到由OUT指定的字。指定的字。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20016(3)双字循环左移指令)双字循环左移指令RLD和双字循环右移指令和双字循环右移指令RRD 在梯形图中,双字循

15、环移位指令以功能框在梯形图中,双字循环移位指令以功能框的形式编程,指令名称分别为:的形式编程,指令名称分别为:ROL_DW和和ROR_DW。当允许输入。当允许输入EN有效时,把双字型有效时,把双字型输入数据输入数据IN循环移位循环移位N位后,送到由位后,送到由OUT指定指定的双字存储单元。的双字存储单元。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20017E EN NO OI I0 0.1 1P PENENDATADATAS_BITS_BITN NS SH HR RB BI0.2I0.2V10.0V10.04 4E EN NO OLD I0.1EUSHRB I0.2

16、,V10.0,4I0.20770 01 1 0 0 0 0 1 11 11 1 1 10 0 0 0溢出位移位前移位一次后VB10.0VB10.0I0.1I0.2正跳变S_BIT3、移位寄存器指令(、移位寄存器指令(SHRB)SM1.1电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20018移位寄存器指令的特点如下:移位寄存器指令的特点如下:1)移位寄存器的数据类型无字节型、字型、双字型之)移位寄存器的数据类型无字节型、字型、双字型之分,移位寄存器的长度分,移位寄存器的长度N(64)由程序指定。由程序指定。2)N0时,为正向移位,即从最低位向最高位移位。时,为正向移位,

17、即从最低位向最高位移位。N0,则在每个,则在每个EN的前沿,将数据输入的前沿,将数据输入DATA的状的状态移入移位寄存器的最低位态移入移位寄存器的最低位S_BIT;如果;如果N0,则在每,则在每个个EN的前沿,将数据输入的前沿,将数据输入DATA的状态移入移位寄存器的状态移入移位寄存器的最高位,移位寄存器的其他位按照的最高位,移位寄存器的其他位按照N指定的方向指定的方向(正向正向或反向或反向),依次串行移位。,依次串行移位。4)移位寄存器的移出端与)移位寄存器的移出端与SM1.1(溢出溢出)连接。连接。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-200194、字节交换

18、指令、字节交换指令SWAP 输入输入/输出输出 操作数操作数 数据类型数据类型 IN VW,IW,QW,MW,SW,SMW,字字 T,C,LW,AC,*VD,*AC,*LD当执行当执行SWAPSWAP时时,IN,IN中指定字的上下字节的内容互换。在下图程序中,中指定字的上下字节的内容互换。在下图程序中,当当VW10VW10161620332033时,接通时,接通I0.0I0.0结果得结果得VW10VW10161633203320;当当VW10VW10161631203120时,接通时,接通I0.0I0.0结果得结果得VW10VW10161620312031;在使用在使用SWAPSWAP指令时,

19、要指令时,要注意使用脉冲型,不然可能注意使用脉冲型,不然可能得不到需要的结果除非确保得不到需要的结果除非确保驱动信号只接通一个扫描周驱动信号只接通一个扫描周期的时间。期的时间。电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20020参考方案参考方案(S7200系列机型实现)系列机型实现)电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20021电气控制及电气控制及PLCPLC技术技术西门子西门子S7-200S7-20022知识拓展知识拓展如何改变首次扫描的处值?如何改变首次扫描的处值?如何改变移位的速率?如何改变移位的速率?如何完成如何完成16 16位循环控制程序?位循环控制程序?

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!