武汉理工大学信息工程学院课程设计题目2012年2

上传人:沈*** 文档编号:170271176 上传时间:2022-11-19 格式:DOC 页数:7 大小:1.01MB
收藏 版权申诉 举报 下载
武汉理工大学信息工程学院课程设计题目2012年2_第1页
第1页 / 共7页
武汉理工大学信息工程学院课程设计题目2012年2_第2页
第2页 / 共7页
武汉理工大学信息工程学院课程设计题目2012年2_第3页
第3页 / 共7页
资源描述:

《武汉理工大学信息工程学院课程设计题目2012年2》由会员分享,可在线阅读,更多相关《武汉理工大学信息工程学院课程设计题目2012年2(7页珍藏版)》请在装配图网上搜索。

1、武汉理工大学信息工程学院EDA课程设计题目课题一 巴克码发生器(No1;19)1、设计并实现一个巴克码(01110010代码)发生器。波形图见图12(c)。图12(c) 巴克码(01110010代码)发生器仿真波形图课题二 巴克码检测器(No2;20)1、设计一个七位巴克码(X1110010)代码检测器,当识别到一组代码时,输出一个高电平脉冲。*课题3 设计一个2568的ROM(No3;21)1、 设计内容 设计一个2568的ROM在设计ROM时,根据ROM的大小,可以采用不同的方法进行设计,比如48、88或168的ROM可以采用数组描述或WHEN-ELSE。然而数组描述ROM在面积上是最有效

2、的,在用数组描述时,常把数组常量描述的ROM放在一个程序包中,这种方法可以提供ROM的重用,在程序包中应当用常量定义ROM的大小。而用WHEN-ELSE描述一个ROM,它却是最直观的,它是类似查表的方式来设计的,如下面的例程就是一个用WHEN-ELSE设计的168的ROM。但对于MAXPLUS II这个软件,对于用数组描述的ROM在编译过程中会出错,有可能MAXPLUS II在语法支持上不太全面,但可以用其他的VHDL语言仿真综合工具进行仿真或综合,如ACTIVE VHDL、MODELSIM、LEONARDO等。 *课题4 88位双端口的SDRAM(No4;22)采用数组或例化的方法设计并实现

3、一个88位双端口的SDRAM。 l 8条数据输入线l Waddress为写地址输入线l Raddress为读地址输入线l nWR为写控制线,低电平有效l nRD为读控制线,低电平有效l nCS为片选信号线,低电平有效l CLK为同步时钟输入线l 8条数据输出线实验源程序是sramd.vhd。当nCS为0,nWR为0时,在CLK上升沿时刻,数据总线上的数据将写入由Waddress所指定的单元;当nCS为0,nRD为0时,在CLK上升沿时刻,将由Raddress所指定的单元内的数据输出到总线上。其外形结构图如图17(a)所示。波形仿真时序图如图17(b)。图17(a) 双端口SDRAM 图17(b

4、) 波形仿真时序图*课题5 1616点阵控制接口(No5;23)设计一个共阴1616点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式自行设计,其中位选信号为16-4编码器编码输出。在实验箱中,1616点阵显示的驱动电路已经做好,并且其位选信号为一4-16译码器的输出,所以我们在设计点阵控制接口时,其位选信号输出必须经16-4编码。图18(a) 1616点阵控制接口引脚功能控制器的引脚功能图如图18(a)所示,其中:DIN3.0为显示花样模式选择,高电平有效;CLK为时钟输入端;DOTOUT15.0为行驱动信号输出;SELOUT3.0为列选信号输出,为16-4编码信号。当din输

5、入是“0000”时,我们要实现1616点阵的16列依次从上往下依次点亮,最后一列全亮后又依次从第一列开始从下往上先全亮再依次熄灭时,对列选信号我们采用与7段数码管的位选信号一样的处理方法,即列扫描信号频率大于24HZ;对行驱动信号可以采用移位的方法,可先定义一个16位的信号,若最高位置为1,我们采用右移的方法,使每一位都置1,这就实现依次点亮;当第0位也置1后,给第0位置0,再采用左右的方法将每一位又重新置0,这样就实现了反相依次熄灭,等第15位为0时,又重新开始,以此循环。波形仿真图如下图18(b)。显示自己的姓名。对于din输入其他的值的显示花样,请自行设计。 图18(b) 波形仿真图实验

6、连线将CP端接时钟输出,并使输入频率约为1MHZ,DIN3.0分别接4位拨码开关,DOTOUT15.0分别接点阵显示模块的L15L0,SELOUT3.0分别接点阵显示模块的SEL3SEL0。*课题6 乐曲演奏电路(No6;24)设计乐曲演奏电路,可以自动演奏乐曲(梁祝、荷塘月色、隐形的翅膀、歌唱祖国、我心永恒、Scarborough Fair 斯卡布罗集市等任选一首)。课题7 D/A接口(函数发生器)(No7;25)1、 设计要求设计并实现一个DA转换控制器,要求:l 使用实验仪器上现有的D/A转换器AD5620或AD558l 采用CPLD完成对AD5620或AD558的控制,并与AD5620

7、或AD558结合完成四种波形的产生(频率相同):递增斜波;递减斜波;三角波;递增阶梯波。对于AD5620DAC芯片的各种介绍请参阅其数据手册。对于AD558芯片的各种介绍请参阅其数据手册。课题8 六人抢答器(No8;26)抢答台数为6,具有抢答开始后20秒倒计时,20秒倒计时后六人抢答显示超时,并报警。能显示超前抢答台号并显示犯规报警。系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。课题9 出租车计费器(No9;27)实现计费功能,计费标准为武汉起步3元,1公里后4元,1.5公里后5元,2公里后6元,2.

8、5公里后7元,车行3公里后跳为8元,以后为1.4元/公里,当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。车费动态扫描显示,有一位小数。课题10 数字秒表(No10;28)设计要求,体育比赛用1 计时精度应大于1/100S,计时器能显示1/100S的时间,提供给计时器内部定时的时钟频率应大于100Hz,这里选用1KHz。2 计时器的最大计时时间为1小时,为此需要6位的显示器,现实的最长时间为59分59.99秒。3 设置有复位和起/停开关,复位开关用来使计数器清零,做好计时准备。起停开关的使用方法与传统的机械式计数器相同,即按一下,启动计时器开始计时,再按一下计时终止。

9、课题11 数字频率计(No11;29)设计一个3位十进制频率计,其测量范围为1MHz。量程分10KHz、1000KHz、1MHz三档(最大读数分别为9.99KHz、99.9KHz、999KHz)。课题12 数字钟(No12;30)设计一个6位显示的数字钟。6位LED动态扫描显示,根据一个控制键能选择显示时、分、秒;或年、月、日。显示翻屏。课题13 89先进先出(FIFO)缓冲器(No13;31)设计一个89先进先出(FIFO)缓冲器,9位字深,8位字宽;当读信号ready有效时,FIFO的输出data_out使能;当ready无效时,FIFO的输出处于高阻态;当写信号write有效时,8位宽的

10、寄存器进行写操作,信号rdinc和wrinc被用来作为寄存器读和写指针递增,以指示该位寄存器的读和写。信号rdptclr和wrptclr复位读写指针指向FIFO的第一个寄存器。data_in是将被载入到一个寄存器的数据,课题14 序列信号检测器(No14;32)设计一个有限状态机,用以检测输入序列“1110101101”由左开始。(1) 画出状态转换图(2) 使用VHDL语言编程(3) 使用EPM7128SLC84-15芯片课题15 序列信号发生器(No15;33)设计一个有限状态机,用以产生输出序列“1110101101”由左开始。(1)画出状态转换图(2)使用VHDL语言编程(3)使用EP

11、M7128SLC84-15芯片课题16 正负脉宽数控调制信号发生器设计(No16;34)此信号发生器是由两个完全相同的可自加载计数器LCNT8组成的,它的输出信号的高低电平脉宽可分别由两组8位预置数进行控制。保证信号频率为1kHz,通过调整计数器可改变占空比。课题17 模可变16位加法计数器设计(No17;35)设计可变16位加法计数器,可通过3个选择位M2、M1、M0实现最多8种不同模式的计数方式,例如可构成5、10、16、46、100、128、200、256进制,共8种计数模式。课题18 电子琴电路(No18;36)设计乐曲演奏电路,可以演奏8个音符:1、2、3、4、5、6、7、。课题19

12、 字符发生器设计内容1了解点阵字符的产生和显示原理。2了解E2PROM和1616点阵LED的工作机理。3. 加强对于总线产生,地址定位的EPLD实现。 设计原理1616扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。所以其扫描译码地址需4位信号线。2864 E2PROM存贮器是电可擦除/编程的只读存贮器,容量为8k8bit ,有13位并行地址线和8位并行数据线,而一个完整的字符所需的存贮容间为32字节即328 bit,也就是说2864最多可连续存256个1616点阵字形。存贮方式可事先约定好。本设计就是要通过EPLD芯片产生读时序,将

13、字形从2864中读出,然后产生写时序,写入1616的点阵,使其扫描显示输出。 显示内容:这是一1616点阵字库,依次存放“武汉理工大学信息工程学院电子科学与技术XXX(姓名)”字样。一个字占32个字节: 字节1 字节3.字节31B0B0.B1.B2.B3.B4.B5.B6.B7B7.B0B0.B1.B2.B3.B4.B5.B6.B7B7. 字节0 字节2 字节30时间进度安排:第1天 课程设计任务布置、选题、查阅资料。第24天 设计,软件编程、仿真和调试第5天 机房检查仿真结果,验证设计的可行性和正确性。第6天 熟悉实验平台和实验箱第79天 设计的硬件调试。第1011天 实验室检查设计成果,现场演示硬件实物、提交设计说明书及答辩信息工程学院课程设计评分表评 定 项 目评分成绩1选题合理、目的明确(10分)2设计方案正确,具有可行性、创新性(20分)3设计结果(例如:硬件成果、软件程序)(20分)4态度认真、学习刻苦、遵守纪律(15分) 5设计报告的规范化、参考文献充分(不少于5篇)(10分)6答辩(25分)总分备注:成绩等级:优(90分100分)、良(80分89分)、中(70分79分)、及格(60分69分)、60分以下为不及格。7

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!