全加器电路设计

上传人:daj****de2 文档编号:169914076 上传时间:2022-11-18 格式:DOCX 页数:4 大小:215.25KB
收藏 版权申诉 举报 下载
全加器电路设计_第1页
第1页 / 共4页
全加器电路设计_第2页
第2页 / 共4页
全加器电路设计_第3页
第3页 / 共4页
资源描述:

《全加器电路设计》由会员分享,可在线阅读,更多相关《全加器电路设计(4页珍藏版)》请在装配图网上搜索。

1、南昌航空大学实验报告2014年月日课程名称:计算机组成与原理实验名称:全加器电路设计班 级:120452学生姓名: 刘信学 号: 12045217指导教师评定签名:实验目的:熟悉Quartus II的原理图输入方法设计简单组合电路;掌握层次化设计的方法,并通过一个8位全加器的设计,体验EDA软件原理图输入方式进 行电子线路设计的详细流程。实验任务:1. 完成半加器和一位全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上 的硬件测试,并将此全加器电路设计成一个硬件符号入库。2. 建立一个更高层次的原理图设计,利用以上获得的1位全加器构成8位全加器,并完成 编译、综合、适配、仿真和硬件

2、测试。3. 实验完成,写出实验报告实验指导:1 实验原理及方案本实验采用层次化设计方法,先用逻辑门设计构造1位半加器作为一个可调用的元件,然后 调用半加器元件构造1位全加器,制成可调用的元件,再用1位全加器元件组成8位全加器。 实验设计软件采用Quartus II。使用原理图输入设计方法。最后下载到可编程芯片EP1C6Q240 上,制造一片8位全加器集成电路。测试在GW48实验系统上进行,选用电路模式N0.1。安排如下:1位全加器的验证:试验台上的十六进制按键1 (PIOO/1/2)分别接全加器输入ain、bin、cin; 发光管 D2、D3 (PIO33/34)分别接 sum 和 cout。

3、8位全加器的验证:试验台上的键2,键1(PIO7-PIO0)作为一组8位加数输入,键4,键 3(PIO15-PIO8)作为另一组8位加数输入;数码管6(PIO23-20)和5(PIO19-16)显示加法和, 发光管D8(PIO39)显示进位。表3.2 1位全加器实验引脚表:设计电路的逻辑端口名ainbincinsumcout结构图上连接器件按键1按键1按键1发光管D2发光管D3结构图上引脚序号PIO0PIO1PIO2PIO33PIO34EP1C6Q240芯片引脚序号123138139EP1C6Q240芯片引脚号名I/O0I/O1I/O2I/O33I/O34表3.3 8位全加器实验引脚表设计电路

4、的逻辑端口名ainbinsumcout结构图上连接器件按键2,1按键4,3数码管6,5发光管D8结构图上引脚序号PIO7-4PIO3-0PIO15-12PIO11-8PIO23-20PIO19-16PIO39EP1C6Q240芯片引脚序号240-23312,8-6,4-1139,138160EP1C6Q240芯片引脚号名I/O7-OI/O15-8I/O23-16I/O392. 实验步骤(1) 建立实验项目工作文件夹。女如 C:ADDER.(2) 打开Quartus II软件。点击FilelNew,新建设计文件。在弹出新建对话窗口,选Device Design Files选项卡,在所列文件类型中

5、选择Block Diagram/Schematic File,点击 OK按钮。(3) 在出现的原理图编辑窗口绘制原理图。(4) 在编辑窗口左侧有绘图工具选择区。点击元件按钮,弹出Symbol符号选择窗口,从 中可选择元器件,弓I脚,以及保存了的框图符号。通过”箭头”工具(图3.19)移动符号,布 局好元件位置。(5) 双击引脚符号中的PIN NAME,对输入/输出引脚命名。(6) 通过”直角连线”工具(图3.19)连接电路元件。完成原理图设计。(7) 通过File|Save As菜单项 保存文件到事先建立的工作文件夹中,命名为h_adder .bdf。 在这里保存文件时,暂时不进入”新建工程向

6、导”。(8) 通过 File|Create/Update|Create Symbol Files for Current File,将设计的原理图文件设置成 可调用的元件。(9) 重复1)到5)步设计1位全加器电路。这里设计全加器时,可通过浏览查找,定位 到存放位置,调用半加器电路。保存在同一工作文件夹中,命名为f_adder.bdf,设置成可调用 元件。(10) 重复1)到5)步,调用全加器符号,设计绘制8位全加器电路。2新建工程如实验一介绍的方法,工程名和顶层设计文件同为f_adder,加入工作文件夹内的所有文件。 选择芯片。完成1位全加器电路的设计工程。注意:一个工程只能有一个顶层设计文

7、件,所以1位全加器和8位全加器应该各自建立不 同的工程。3. 通过点击Processing下拉菜单,选Start Compilation菜单项启动编译。4时序功能仿真,先进行激励激励波形设计。然后启动仿真。操作方法如实验一所述,仿真 结果如图3.24所示。5. 锁定引脚(参考图3.17,表3.3选择引脚),方法参考实验一中介绍的锁定引脚部分。6. 下载编程已经在实验一中介绍。请参考实验一。7. 硬件测试,选择模式NO.1。1位全加器输入只用了按键1,按键采用十六进制输入,每按一次输入一位二进制数据,因此两个1位加数和进位是通过按键同时输入。参看表3.4o表3.4按键1输入值与测试输入数据对照表ainbincin按键1的值D2(SUM)D3(C0UT)00001001(按键1次)灯亮0102(按键2次)灯亮0014(按键4次)灯亮1103(按键3次)灯亮1015(按键5次)灯亮0116(按键6次)灯亮1117(按键7次)灯亮灯亮8. 8位加法器设计方法同1位全加器,只是要新建工程。 附图:Window HelpMaster Time Bar:m叽且mProcessing TodsFM“ 0 - 1. lu:心:906 us Interval:Value at5.5 ns3.84.56.4

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!