低通滤波器的FPGA实现朱文开题报告

上传人:痛*** 文档编号:169274491 上传时间:2022-11-14 格式:DOC 页数:10 大小:86KB
收藏 版权申诉 举报 下载
低通滤波器的FPGA实现朱文开题报告_第1页
第1页 / 共10页
低通滤波器的FPGA实现朱文开题报告_第2页
第2页 / 共10页
低通滤波器的FPGA实现朱文开题报告_第3页
第3页 / 共10页
资源描述:

《低通滤波器的FPGA实现朱文开题报告》由会员分享,可在线阅读,更多相关《低通滤波器的FPGA实现朱文开题报告(10页珍藏版)》请在装配图网上搜索。

1、 毕业设计(论文)开题报告题 目: 低通滤波器的FPGA实现 系: 应用技术学院 专 业: 电子信息工程 学生姓名: 朱文 学 号: 7 指导教师: 林愿 2011年 5 月 18 日 毕 业 设 计(论 文)开 题 报 告文献综述一、引言随着微电子技术的发展,采用现场可编程门阵列FPGA进行数字信号处理得到了飞速发展。由于FPGA具有现场可编程的特点,可以实现专用集成电路,因此越来越受到硬件电路设计工程师们的青睐。本文研究了基于FPGA的FIR数字低通滤波器的实现方法。用这种方法实现的滤波器内部电路结构透明化,并减小了体积,提高了工作效率。有限冲激响应(FIR)滤波器能在设计任意幅频特性的同

2、时保证严格的线性相位特性。目前FIR滤波器的实现方法有两种:利用单片通用数字滤波器集成电路、DSP器件和可编程逻辑器件实现。单片通用数字滤波器使用方便,但由于字长和阶数的规格较少,不能完全满足实际需要。使用DSP器件实现虽然简单,但由于程序按顺序执行,执行速度必然不快。FPGA有着规整的内部逻辑阵列和丰富的连线资源,特别适合于数字信号处理任务,相对于串行运算为主导的通用DSP芯片来说,其并行性和可扩展性更好。但长期以来,FPGA一直被用于系统逻辑或时序控制上,很少有信号处理方面的应用,其原因主要是因为在FPGA中缺乏实现乘法运算的有效结构。现在这个问题得到了解决,使FPGA在数字信号处理方面有

3、了长足的发展。二、FPGA的发展趋势1、大容量、低电压、低功耗FPGA大容量FPGA是市场发展的焦点。FPGA产业中的两大霸主:Altera和Xilinx在超大容量FPGA上展开了激烈的竞争。近年来Altera推出的APEXEP2A70,其容量为67200个LE(Logic Element,逻辑单元),Xilinx推出的Vitex6000,其容量为33792个slices(一个slices约等于2个LE)。采用深亚微米(DSM)的半导体工艺后,器件在性能提高的同时,价格也在逐步降低。由于便携式应用产品的发展,对FPGA的低电压、低功耗的要求日益迫切。因此,无论那个厂家、哪种类型的产品,都在瞄准

4、这个方向而努力。2、系统级高密度FPGA 随着生产规模的提高,产品应用成本的下降,FPGA的应用已经不是过去的仅仅适用于系统接口部件的现场集成,而是将它灵活地应用于系统级(包括其核心功能芯片)设计之中。当前具有IP内核的系统级FPGA的开发主要体现在两个方面:一方面是FPGA厂商将IP硬核(指完成版图设计的功能单元模块)嵌入到FPGA器件中,另一方面是大力扩充优化的IP软核(指利用VHDL语言设计并经过综合验证的功能单元模块),用户可以直接利用这些预定义的、经过测试和验证的IP核资源,有效地完成复杂的片上系统设计。3、动态可重构FPGA动态可重构FPGA是指在一定条件下芯片不仅具有在系统重新配

5、置电路功能的特性,而且还具有在系统动态重构电路逻辑的能力。对于数字时序逻辑系统,动态可重构FPGA的意义在于其时序逻辑的发生不是通过调用芯片内不同区域、不同逻辑资源来组合而成,而是通过对FPGA进行局部的或全局的芯片逻辑的动态重构而实现的。动态可重构FPGA在器件编程结构上具有专门的特征,其内部逻辑块和内部连线的改变,可以通过读取不同的S 中的数据来直接实现这样的逻辑重构,时间往往在纳秒级,有助于实现FPGA系统逻辑功能的动态重构。三、VHDL设计技术VHDL作为IEEE标准的硬件描述语言和EDA的重要组成部分,经过十几年的发展、应用和完善,以其强大的系统描述能力、规范的程序设计结构、灵活的语

6、句表达风格和多层次的仿真测试手段,深受业界的普遍认同和广泛地接受,从数十种国际流行的硬件描述语言中脱颖而出,成为现代EDA领域首选的硬件设计计算机语言,而且目前流行的EDA工具软件全部支持VHDL。显然,VHDL己成为硬件工程师们的共同语言。1、VHDL的特点VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。VHDL主要用于描述数字系统的结构、行为、功能和接口。其程序结构特点是将一项设计实体分成外部和内

7、部,即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其它的设计就可以直接调用这个实体。这种设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL设计硬件系统具有如下一些特点:1)与其它的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言.强大的行为描述能力是避开具体的器件构,从逻辑行为上描述和设计大规模电子系统的重要保证。2)VHDL有丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。即在远离门级的高层次上进行模拟,使设计者对整个工程设

8、计的结构和功能的可行性作出决策。3)VHDL的语句描述和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效、高速的完成必须由多人甚至多个开发组共同进行工作才能实现。VHDL中设计实体的概念、程序包的概念、设计库的概念为设计的分解和并行工作提供了有力的支持。2、VHDL语言的基本构成VHDL语言的语法比较严格,一段完整的VHDL代码通常由实体语句、构造体语句、配置说明语句、以及库、包说明语句组成。其中库是用来存放己经编译过的实体、构造体、包和配置数据;包主要用于存放各设计模块都能共享的数据类型、常量和子程序;实体语句用于描述设计单元的外部接口信号;构造体

9、语句用于描述设计单元内部的结构和行为。由于VHDL代码完全由文字组成,而传统的设计往往是一张张原理图,这两者之间存在一定的对应关系,我们都知道传统的原理图总是由线和一些符号相互连接而构成,实体是与符号相对应的,因为它规定了一个设计单元对外的接口信号。构造体则是与某一层的原理图相对应,因为它总是与某个实体相关,并对该实体的结构和行为进行描述。配置说明语句用于从库中选取不同的元件来构成设计单元的不同版本,是描述层与层之间的连接关系以及实体与结构体之间的连接关系。设计者可以利用这种配置说明语句来选择不同的结构体,使其与要设计的实体相对应。在仿真某一实体时,可以利用配置来选择不同的结构体,进行性能对比

10、试验以得到性能最佳的结构体。结构体对设计单元内部的功能描述有三种方式:结构描述、数据流描述和行为描述。3、VHDL的综合技术综合是VHDL最有利用价值的一点,也是VHDL之所以流行的关键所在。通常大家接触比较多的是模拟和仿真。模拟和仿真是验证某一数字系统是否实现了预期的功能,其前提是已有了现成的设计方案。可综合与模拟或仿真不同,是其逆过程。综合的前提是给定了电路应实现的功能和实现此电路的约束条件(速度、功耗、成本及电路类型等),目标是得到一个满足上述要求的设计方案,这将借助于电子系统设计自动化工具的帮助自动生成。VHDL的综合,就是将抽象的硬件源描述转化为门级电路的过程。综合过程包括了两个关键

11、性问题:一是转换,不同抽象层次之间的转换,由较高抽象层次向较低抽象层次进行自动转换:二是优化,依据现有的设计单元技术库,综合生成满足预期功能和约束条件的设计方案。超高速集成电路硬件描述语言VHDL作为IEEE_1076标准所规范的硬件描述语言,得到了众多EDA公司和集成电路厂商的支持与认同,已经成为现代电子设计领域的通用描述语言和主要设计手段。五、结束语VHDL是随着可编程逻辑器件的发展而发展起来的一种硬件描述语言,由于它采用了更抽象层次的描述方法,并接受了高层次的、自顶向下的设计方法,己成为数字硬件系统高层设计的核心,和实现数字硬件系统设计新方法的关键技术之一,越来越得到从事数字硬件系统设计

12、师的亲睐。本文介绍了在数字算法设计和实现中基于ALTERA公司的FPGA器件使用分布式算法为滤波器的硬件实现算法。针对当滤波器阶数过大时,分布式算法中查找表规模过大的缺点,对其进行了进一步的改进。最后确定使用将大查找表分为2块小查找表和OBC编码方式相结合的方案,极大的降低了硬件的规模,同时使得速度与规模比达到最优。从而使该设计能满足课题的要求,对FPGA硬件资源的利用高效合理。本文还主要从以下几个方面做了深入的工作:1、对传统的数字硬件系统设计方法和以采用硬件描述语言的数字硬件系统设计方法进行比较,指出采用硬件描述语言设计数字硬件系统的优势。2、将硬件描述语言的功能特点作了归纳,对硬件描述语

13、言的基本构成和综合技术作了详细的阐述,以及说明了应用VHDL的EDA设计流程。参 考 文 献1Atmel Corporation, USA, Application note, FPGA-based FIR Filter Using Bit-Serial Digital Signal Processing, by Lee Ferguson,19952Stanley A .White, Application of Distributed Arithmetic to Digital Signal Processing: A tutorial Review ,IEEEA SSP Magazine,

14、 July1989,p4-193The Programmable Logic DATABOOK. Xilinx Incorporation San Jose USA,19994陈意军,孙胜麟 基于CPLD的现代数字系统设计 现代通讯技术5陈步凤,张秋平V/P转换电压测量系统 微型机与应用 2002年12月6郭继昌,滕建辅等,基于FPGA的FIR滤波器的实现,电子技术应用,2000.57郭照南,刘正青 基于CPLD和VHDL的现代数字系统设计 湖南工程学院学报 第11卷第1期.2001年6月.8侯伯亨,顾新,VHDL硬件描述语言与数字逻辑电路设计,西安电子科技大学出社,1999.99李如春,秦苗

15、 基于FPGA/CPLD的小型片上系统的设计 浙江工业大学学报 第29卷第3期.2001年9月10陆重阳,卢东华FPGA技术及其发展趋势微电子技术第31卷第1期2003年2月11梁玉红,黄晓林 基于EDA技术的CPLD/FPGA应用前景 湖北汽车工业学院电气工程系论文12卢毅,赖杰,VHDL与数字电路设计,科学出版社,2001.413刘宝琴,应启布,田立生,FIR和IIR滤波器的硬件实现,电子技术应用,1997 .114吴冰,李森森,EDA技术的发展与应用,现代电子工程,2000.315王小军,VHDL简明教程,清华大学出版社,1998.416赵雅兴,FPGA原理、设计与应用,天津大学出版社,

16、1999.417张昌凡,龙永红,彭涛,可编程逻辑器件及VHDL设计技术,华南理工大学出版社,2001.7毕 业 设 计(论 文)开 题 报 告 开 题 报 告一、课题的目的与意义随着现代通信技术的发展,有限冲击响应滤波器FIR被广泛应用于许多工程领域,并且在数字信号处理系统中,对其要求日益提高,如面积、速度、功耗等各方面。随着数字信号处理技术的不断飞跃,它日益影响人民的生活。可编程器件由于在速度和集成度方面的飞速提高,越来越多的电子系统使用可编程器件来实现数字信号处理。随着VLSI(Very Large Scale IC,超大规模集成电路)工艺的不断提高,单一芯片内都可以容纳上千万个晶体管,F

17、PGA/CPLD芯片的规模也越来越大,其单片逻辑门数己达到了数百万个门,它所能实现的功能越来越强,同时也可以实现系统集成。利用FPGA/CPLD芯片现场编程特性,既可缩短设计周期,又可反复修改调试,成本较低。数字滤波器是用有限精度算法实现的离散时间线性非时变系统,它既可以用硬件实现,也可以用软件实现,软件可由使用者自己编写,也可以使用现成的。硬件实现即是设计专门的数字滤波硬件,采用硬件实现的方法一般都比采用软件实现方法要困难得多。二、课题发展现状和前景展望如今,EDA技术的发展提供了实现数字滤波器的新方法,即在PLD上实现FIR滤波器。在PLD上实现的FIR滤波器,是建立在分布式算法的基础之上

18、的。分布式算法可以很好地在FPGA芯片中实现,所以基于FPGA使用分布式算法实现FIR滤波器有着很好的发展前景。基于FPGA芯片来实现FIR滤波器,既兼顾了固定功能DSP专用芯片的实时性,又具有DSP处理器的灵活性。FPGA和DSP技术的结合能够更进一步提高集成度、提高运行速度和扩展系统功能。用FPGA设计的产品还具有体积小、速度快、重量轻、功耗低、可靠性高、仿制困难、批量成本低等优点。EDA技术是现代电子设计技术的核心。应用EDA技术所设计的电子电路特点主要为:实现电子电路的载体是大规模可编程逻辑器件;对电子电路的功能进行描述的手段是硬件描述语言;在EDA工具软件的支持下,编译器将硬件描述语

19、言所表达的电路功能自动地转换为文本方式表达的基本逻辑元件连接图 网表文件,并最终下载到可编程逻辑器件内,完成了电子电路的设计。 FPGA/CPLD、DSP和CPU被称为未来数字电路系统的3块基石,也是目前硬件设计研究的热点,特别是随着电子工艺的不断改进,低成本FPGA/CPLD器件推陈出新,一般的ASIC的流片费用的增长速度更是惊人。而且现代市场商机瞬息万变、稍纵即逝,商家希望设计的产品在最短的时间里投入市场中,ASIC开发周期显得比较长。这一切促FPGA/CPLD成为当今硬件设计的首选方式之一。近年来市场应用数量更是保持持续高速增长,市场占有份额也越来越大。FPGA的基本特点主要有: 采用F

20、PGA设计ASIC电路,用户不需要经过流片生产,就能得到可用的芯片。FPGA可做其它全定制或半定制ASIC电路的中试样片。FPGA内部有丰富的组合逻辑、触发器和1/0引脚。FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一 。FPGA采用高速CHMOS工艺,功耗低,可以与CMOS,TTL电平兼容。硬件描述语言是EDA技术的重要组成部分。VHDL是目前应用广泛的硬件描述语言之一,是IEEE的工业标准硬件描述语言,得到许多EDA公司的支持,在电子工程领域,已成为通用的硬件描述语言。它的硬件描述能力很强,可以从逻辑门级、电路级直至系统级等多个层次进行描述和建模,此外还能进行仿真、

21、综合和调试,使得实现硬件电路之前就可以进行高层次的模拟,有利于电路功能和结构的完善。 三、课题主要内容和要求用VHDL描述一个FIR低通滤波器。其幅频特性如下图所示。设计要求:1)最小阻带衰减-30db2)带内波动小于1db3)通带边缘频率100MHz4)阻带边缘频率125MHz 设计出满足上述性能指标并且所需资源最少的FIR滤波器。用VHDL语言描述所设计的FIR滤波器,并在QUARTUS II中进行硬件仿真。用MATLAB分析仿真结果,验证是否满足设计要求。四、研究方法、步骤和措施1、了解FIR滤波器的基本特点优点:可以设计成严格的线性相位;用DFT技术来设计任意形状的幅频特性滤波器,且始

22、终稳定。缺点:运算量大,占大的运算和存储单元。2、FIR滤波器设计的主要参数计算给定要求的频率特性,按一定的最佳逼近准则,选h(n)(即滤波器的单位抽样响应)及N。即设计一个低通滤波器(即得到它的系统函数H(z)差分方程),它的带通为 0,wp 容限是(或者Rp),阻带是 ws, ,容限为(或者As)。3、了解FIR数字滤波器的结构原理和设计方法,会使用MATLAB仿真软件设计各种FIR滤波器,以便对设计结果进行仿真和比较。4、选择设计FIR滤波器的方法 FIR数字滤波器的设计方法有好多种,具体选择使用哪一种,就要看具体的技术指标要求了。一般可采用一下几种常用的设计方法(基于MATLAB):(1)窗函数加权法(fir1函数):(2) 频率采样设计法(fir2函数):(3) 等波纹最佳逼近法:本文设计时使用的是等波纹最佳逼近法设计一个满足条件的FIR低通滤波器。5、FIR滤波器程序设计与仿真6、解决仿真过程中出现的问题毕 业 设 计(论 文)开 题 报 告指导教师意见:1对“文献综述”的评语:2对本课题的深度、广度及工作量的意见和对设计(论文)结果的预测: 指导教师: 年 月 日所在专业审查意见: 负责人: 年 月 日

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!