2023年硬件工程师面试题集含答案很全

上传人:豆*** 文档编号:166661063 上传时间:2022-11-01 格式:DOC 页数:45 大小:1.48MB
收藏 版权申诉 举报 下载
2023年硬件工程师面试题集含答案很全_第1页
第1页 / 共45页
2023年硬件工程师面试题集含答案很全_第2页
第2页 / 共45页
2023年硬件工程师面试题集含答案很全_第3页
第3页 / 共45页
资源描述:

《2023年硬件工程师面试题集含答案很全》由会员分享,可在线阅读,更多相关《2023年硬件工程师面试题集含答案很全(45页珍藏版)》请在装配图网上搜索。

1、硬件工程师面试题集(DSP,嵌入式系统,电子线路,通讯,微电子,半导体)产生EMC问题重要通过两个途径:一个是空间电磁波干扰的形式;另一个是通过传导的形式,换句话说,产生EMC问题的三个要素是:电磁干扰源、耦合途径、敏感设备。 传导、辐射7nO1p Rh$z骚扰源-(途径)- 敏感受体MOS的并联使用原则:1.并联的MOS必须为同等规格,最佳是同一批次的。2.并联的MOS的驱动电路的驱动电阻和放电电路必须是独立分开的,不可共用驱动电阻和放电电阻。3.PCB走线尽量保证对称,减小电流分布不均光耦一般会有两个用途:线性光耦和逻辑光耦,假如理解?工作在开关状态的光耦副边三极管饱和导通,管压降0.4V

2、,Vout约等于Vcc(Vcc-0.4V左右),Vout 大小只受Vcc大小影响。此时IcIf*CTR,此工作状态用于传递逻辑开关信号。工作在线性状态的光耦,Ic=If*CTR,副边三极管压降的大小等于Vcc-Ic*RL,Vout= Ic*RL=(Vin-1.6V)/Ri * CTR*RL,Vout 大小直接与Vin 成比例,一般用于反馈环路里面 (1.6V 是粗略估计,实际要按器件资料,后续1.6V同) 。2 光耦CTR概要:1)对于工作在线性状态的光耦要根据实际情况分析;2)对于工作在开关状态的光耦要保证光耦导通时CTR 有一定余量;3)CTR受多个因素影响。2.1 光耦能否可靠导通实际计

3、算举例分析,例如图.1中的光耦电路,假设 Ri = 1k,Ro = 1k,光耦CTR= 50%,光耦导通时假设二极管压降为1.6V,副边三极管饱和导通压降Vce=0.4V。输入信号Vi 是5V的方波,输出Vcc 是3.3V。Vout 能得到3.3V 的方波吗?我们来算算:If = (Vi-1.6V)/Ri = 3.4mA副边的电流限制:Ic CTR*If = 1.7mA假设副边要饱和导通,那么需要Ic = (3.3V 0.4V)/1k = 2.9mA,大于电流通道限制,所以导通时,Ic会被光耦限制到1.7mA, Vout = Ro*1.7mA = 1.7V所以副边得到的是1.7V 的方波。为什

4、么得不到3.3V 的方波,可以理解为图.1 光耦电路的电流驱动能力小,只能驱动1.7mA 的电流,所以光耦会增大副边三极管的导通压降来限制副边的电流到1.7mA。解决措施:增大If;增大CTR;减小Ic。相应措施为:减小Ri 阻值;更换大CTR 光耦;增大Ro 阻值。将上述参数稍加优化,假设增大Ri 到200欧姆,其他一切条件都不变,Vout能得到3.3V的方波吗?重新计算:If = (Vi 1.6V)/Ri = 17mA;副边电流限制Ic CTR*If = 8.5mA,远大于副边饱和导通需要的电流(2.9mA),所以实际Ic = 2.9mA。所以,更改Ri 后,Vout 输出3.3V 的方波

5、。开关状态的光耦,实际计算时,一般将电路能正常工作需要的最大Ic 与原边能提供的最小If 之间Ic/If 的比值与光耦的CTR 参数做比较,假如Ic/If CTR,说明光耦能可靠导通。一般会预留一点余量(建议小于CTR 的90%)。工作在线性状态令当别论。2、输出特性曲线输出特性曲线是描述三极管在输入电流iB保持不变的前提下,集电极电流iC和管压降uCE之间的函数关系,即 (5-4) 三极管的输出特性曲线如图5-7所示。由图5-7可见,当IB改变时,iC和uCE的关系是一组平行的曲线族,并有截止、放大、饱和三个工作区。(1)截止区 IB=0持性曲线以下的区域称为截止区。此时晶体管的集电结处在反

6、偏,发射结电压uBE0,也是处在反偏的状态。由于iB0,在反向饱和电流可忽略的前提下,iC=iB也等于0,晶体管无电流的放大作用。处在截止状态下的三极管,发射极和集电结都是反偏,在电路中如同一个断开的开关。 实际的情况是:处在截止状态下的三极管集电极有很小的电流ICE0,该电流称为三极管的穿透电流,它是在基极开路时测得的集电极-发射极间的电流,不受iB的控制,但受温度的影响。 (2)饱和区 在图5-4的三极管放大电路中,集电极接有电阻RC,假如电源电压VCC一定,当集电极电流iC增大时,uCE=VCC-iCRC将下降,对于硅管,当uCE减少到小于0.7V时,集电结也进入正向偏置的状态,集电极吸

7、引电子的能力将下降,此时iB再增大,iC几乎就不再增大了,三极管失去了电流放大作用,处在这种状态下工作的三极管称为饱和。 规定UCEUBE时的状态为临界饱和态,图5-7中的虚线为临界饱和线,在临界饱和态下工作的三极管集电极电流和基极电流的关系为: (5-1-4) 式中的ICS,IBS,UCES分别为三极管处在临界饱和态下的集电极电流、基极电流和管子两端的电压(饱和管压降)。当管子两端的电压UCEUCES时,三极管将进入深度饱和的状态,在深度饱和的状态下,iC=iB的关系不成立,三极管的发射结和集电结都处在正向偏置会导电的状态下,在电路中如同一个闭合的开关。 三极管截止和饱和的状态与开关断、通的

8、特性很相似,数字电路中的各种开关电路就是运用三极管的这种特性来制作的。 (3)放大区 三极管输出特性曲线饱和区和截止区之间的部分就是放大区。工作在放大区的三极管才具有电流的放大作用。此时三极管的发射结处在正偏,集电结处在反偏。由放大区的特性曲线可见,特性曲线非常平坦,当iB等量变化时,iC几乎也按一定比例等距离平行变化。由于iC只受iB控制,几乎与uCE的大小无关,说明处在放大状态下的三极管相称于一个输出电流受IB控制的受控电流源。 上述讨论的是NPN型三极管的特性曲线,PNP型三极管特性曲线是一组与NPN型三极管特性曲线关于原点对称的图像。1、什么是建立时间(Tsu)和保持时间(Th)以上升

9、沿锁存为例,建立时间是指在时钟翻转之前输入的数据D必须保持稳定的时间;保持时间是在时钟翻转之后输入数据D必须保持稳定的时间1。如下图所示,一个数据要在上升沿被锁存,那么这个数据就要在时钟上升沿的建立时间和保持时间内保持稳定。PCB Layout中的3W线距原则串扰(Crosstalk)是指信号线之间由于互容(信号线之间的空气介质相称于容性负载),互感(高频信号的电磁场互相耦合)而产生的干扰,由于这种耦合的存在,当一些信号电平发生变化的时候,在附近的信号线上就会感应出电压(噪声),在电路设计中,克制串扰最简朴的方法就是在PCBLayout中遵循3W原则。3W原则是指多个高速信号线长距离走线的时候

10、,其间距应当遵循3W原则,如下图1所示,3W原则规定相邻信号线中心距离不能少于线宽的3倍,据一些资料记载的,满足3W原则能使信号间的串扰减少70%。我们在对高速信号,例如DDR3,PCIE,SATA2等布线的时候都会遵循这个原则。只要是接触过Layout的人都会了解差分走线的一般规定,那就是“等长、等距”。等长是为了保证两个差分信号时刻保持相反极性,减少共模分量;等距则重要是为了保证两者差分阻抗一致,减少反射。“尽量靠近原则”有时候也是差分走线的规定之一。11、锁存器、触发器、寄存器三者的区别。触发器:可以存储一位二值信号的基本单元电路统称为“触发器”。锁存器:一位触发器只能传送或存储一位数据

11、,而在实际工作中往往希望一次传送或存储多位数据。为此可把多个触发器的时钟输入端CP连接起来,用一个公共的控制信号来控制,而各个数据端口仍然是各处独立地接受数据。这样所构成的能一次传送或存储多位数据的电路就称为“锁存器”。寄存器:在实际的数字系统中,通常把可以用来存储一组二进制代码的同步时序逻辑电路称为寄存器。由于触发器内有记忆功能,因此运用触发器可以方便地构成寄存器。由于一个触发器可以存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。区别:从寄存数据的角度来年,寄存器和锁存器的功能是相同的,它们的区别在于寄存器是同步时钟控制,而锁存器是电位信号控制。可见

12、,寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据信号之间的时间关系:若数据信号有效一定滞后于控制信号有效,则只能使用锁存器;若数据信号提前于控制信号到达并且规定同步操作,则可用寄存器来存放数据。1锁存器Latch 和 触发器flipflop锁存器能根据输入端把结果自行保持;触发器是指由时钟边沿触发的存储器单元;由敏感信号(电平,边沿)控制的锁存器就是触发器;2、写电路时,产生锁存器的因素if语句中,没有写else,默认保持原值,产生锁存器,也许不是想要的结果;case语句中,没有写完整default项,也容易产生锁存器;例子:always(a or b)beginif(a)

13、 q=b;end产生了锁存器,如下没有锁存器的情况always(a or b)beginif(a) q=b;else q=0;end3、避免使用D锁存器,尽量使用D触发器D锁存器moduletest_latch(y,a,b);outputy;inputa;inputb;regy;always(aorb)beginif(a=1b1)y=b;endendmoduleD触发器moduletest_d(y,clk,a,b);outputy;inputclk;inputa;inputb;regy;always(posedgeclk)beginif(a=1b1)y=b;endendmodule从图8可知,

14、例10相应的电路是D触发器。信号a被综合成D触发器的使能端,只有在时钟上沿到来且a为高时,b信号的值才干传递给a;只要在时钟上升沿期间信号b是稳定,即使在其他时候b尚有毛刺,通过D触发器后数据是稳定的,毛刺被滤除。62、写异步D触发器的verilogmodule.(扬智电子笔试)moduledff8(clk,reset,d,q);inputclk;inputreset;input7:0d;output7:0q;reg7:0q;always(posedgeclkorposedgereset)if(reset)q=0;elseq=d;endmodule63、用D触发器实现2倍分频的Verilog描

15、述?(汉王笔试)moduledivide2(clk,clk_o,reset);inputclk,reset;outputclk_o;wirein;regoutalways(posedgeclkorposedgereset)if(reset)out=0;elseout=in;assignin=out;assignclk_o=out;endmodule有源滤波器和无源滤波器的原理及区别?2023-01-0911:28无源滤波器:这种电路重要有无源元件R、L和C组成。有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波

16、电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高指输出频率与输入控制电压有相应关系的振荡电路(VCO),频率是输入信号电压的函数的振荡器VCO,振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。锁相环重要由压控振荡器,鉴相器,低通滤波器,以及参考频率振荡器组成。压控振荡器重要实现电压与频率的变换,鉴相器重要实现把压控振荡器的频率与参考频率振荡器的频率进行比较。低通滤波器重要是滤除信号中的高频分量,参考频率振荡器提供参考频率。1、下面是一些基本的数字电路知识问题,请简要回答之。(1) 什么是 Setup和 Ho

17、ld 时间?答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间规定。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据可以保持稳 定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的 SetupTime。如不满足 Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才干被打入 触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。假如 Hold Time 不够,数据同样不能被打入触发器。(2) 什么是竞争与冒险

18、现象?如何判断?如何消除?答:在组合逻辑电路中,由于门电路的输入信号通过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端也许产生尖峰脉冲或毛刺的现象叫冒险。假如布尔式中有相反的信号则也许产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。(3) 请画出用 D 触发器实现 2 倍分频的逻辑电路答:把 D 触发器的输出端加非门接到 D 端即可,如下图所示:(4) 什么是线与逻辑,要实现它,在硬件特性上有什么具体规定? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用 OC 门来实现(漏极

19、或者集电极开路),为了防止因灌电流过大而烧坏 OC 门,应在 OC 门输出端接一上拉电阻(线或则是下拉电阻)。(5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别?答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路运用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的 “开始”和“完毕”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、 低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。(7) 你知道那些常用逻辑电平?TTL 与 COMS 电平可以直接互连吗?答:

20、常用的电平标准,低速的有 RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有 LVDS、GTL、PGTL、 CML、HSTL、SSTL 等。一般说来,CMOS 电平比 TTL 电平有着更高的噪声容限。假如不考虑速度 和性能,一般 TTL 与 CMOS 器件可以互换。但是需要注意有时候负载效应也许引起电路工作不正常,由于有些 TTL 电路需要下一级的输入阻抗作为负载才干 正常工作。(6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)典型输入设备与微机接口的逻辑示意图如下:2、

21、你所知道的可编程逻辑器件有哪些?答:ROM(只读存储器)、PLA(可编程逻辑阵列)、FPLA(现场可编程逻辑阵列)、PAL(可编程阵列逻辑)GAL(通用阵列逻辑),EPLD(可擦除的可编程逻辑器件)、 FPGA(现场可编程门阵列)、CPLD(复杂可编程逻辑器件)等 ,其中 ROM、FPLA、 PAL、GAL、EPLD 是出现较早的可编程逻辑器件,而 FPGA 和 CPLD 是当今最流行的两类可编程逻辑器件。FPGA 是基于查找表结构的,而 CPLD 是基于乘积项结构的。3、用 VHDL 或 VERILOG、ABLE 描述 8 位 D 触发器逻辑4、请简述用 EDA 软件(如 PROTEL)进行

22、设计(涉及原理图和PCB图)到调试出样机的整个过程,在各环节应注意哪些问题?答:完毕一个电子电路设计方案的整个过程大体可分:(1)原理图设计 (2)PCB 设计 (3)投板 (4)元器件焊接(5)模块化调试 (6)整机调试。注意问题如下:(1)原理图设计阶段 注意适当加入旁路电容与去耦电容;注意适当加入测试点和 0 欧电阻以方便调试时测试用;注意适当加入 0 欧电阻、电感和磁珠(专用于克制信号线、电源线上的高频噪声和尖峰干扰)以实现抗干扰和阻抗匹配;(2)PCB 设计阶段自己设计的元器件封装要特别注意以防止板打出来后元器件无法焊接;FM部分走线要尽量短而粗,电源和地线也要尽也许粗;旁路电容、晶

23、振要尽量靠近芯片相应管脚;注意美观与使用方便;(3)投板说明自己需要的工艺以及对制板的规定;(4)元器件焊接防止出现芯片焊错位置,管脚不相应;防止出现虚焊、漏焊、搭焊等;(5)模块化调试先调试电源模块,然后调试控制模块,然后再调试其它模块;上电时动作要迅速,发现不会出现短路时在彻底接通电源;调试一个模块时适当隔离其它模块;各模块的技术指标一定要大于客户的规定;(6)整机调试如提高灵敏度等问题5、基尔霍夫定理KCL:电路中的任意节点,任意时刻流入该节点的电流等于流出该节点的电流(KVL同理)6、描述反馈电路的概念,列举他们的应用反馈是将放大器输出信号(电压或电流)的一部分或所有,回收到放大器输入

24、端与输入信号进行比较(相加或相减),并用比较所得的有效输入信号去控制输出,负反馈可以用来稳定输出信号或者增益,也可以扩展通频带,特别适合于自动控制系统。正反馈可以形成振荡,适合振荡电路和波形发生电路。 7、负反馈种类及其优点电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈减少放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展,放大器的通频带,自动调节作用8、放大电路的频率补偿的目的是什么,有哪些方法频率补偿是为了改变频率特性,减小时钟和相位差,使输入输出频率同步相位补偿通常是改善稳定裕度,相位补偿与频率补偿的目的有时是矛盾的不同的电路或者说不同的元器件对

25、不同频率的放大倍数是不相同的,假如输入信号不是单一频率,就会导致高频放大的倍数大,低频放大的倍数小,结果输出的波形就产生了失真放大电路中频率补偿的目的:一是改善放大电路的高频特性,二是克服由于引入负反馈而也许出现自激振荡现象,使放大器可以稳定工作。在放大电路中,由于晶体管结电容的存在经常会使放大电路频率响应的高频段不抱负,为了解决这一问题,常用的方法就是在电路中引入负反馈。然后,负反馈的引入又引入了新的问题,那就是负反馈电路会出现自激振荡现象,所认为了使放大电路可以正常稳定工作,必须对放大电路进行频率补偿。频率补偿的方法可以分为超前补偿和滞后补偿,重要是通过接入一些阻容元件来改变放大电路的开环

26、增益在高频段的相频特性,目前使用最多的就是锁相环9、有源滤波器和无源滤波器的区别无源滤波器:这种电路重要有无源元件 R、L 和 C 组成;有源滤波器:集成运放和 R、C 组成,具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。10、名词解释:SRAM、SSRAM、SDRAM、压控振荡器 (VCO) SRAM:静态 RAM;DRAM:动态 RAM;SSRAM:Synchronous Static Random Access Memory 同步

27、静态随机访问存储器,它的一种类型的SRAM。 SSRAM 的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均与时钟信号相关。这一点与异步 SRAM 不同,异步 SRAM 的访问独立于时 钟,数据输入和输出都由地址的变化控制。SDRAM:Synchronous DRAM 同步动态随机存储器。11、名词解释:IRQ、BIOS、USB、VHDL、SDR。(1) IRQ:中断请求 (2)BIOS:BIOS 是英文Basic Input Output System的缩略语,直译过来后中 文名称就是基本输入输出系统。其实,它是一组固化到计算机内主板上一个 ROM 芯片上的程序,它保存着计

28、算机最重要的基本输入输出的程序、系统设立 信息、开机后自检程序和系统自启动程序。其重要功能是为计算机提供最底层的、 最直接的硬件设立和控制。(3) USB:USB,是英文 Universal Serial BUS(通用串行总线)的缩写,而其 中文简称为“通串线,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯。(4) VHDL:VHDL 的英文全写是:VHSIC(Very High Speed Integrated Circuit) Hardware Description Language.翻译成中文就是超高速集成电路硬件描述语言。 重要用于描述数字系统的结构、行为、功能和接口。(5)

29、 SDR:软件无线电,一种无线电广播通信技术,它基于软件定义的无线通信协议而非通过硬连线实现。换言之,频带、空中接口协议和功能可通过软件 下载和更新来升级,而不用完全更换硬件。SDR 针对构建多模式、多频和多功 能无线通信设备的问题提供有效而安全的解决方案。12、单片机上电后没有运转,一方面要检查什么 一方面应当确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压,看是否是电源电压,例如常用的 5V。接下来就是检查复位引脚电压 是否正常。分别测量按下复位按钮和放开复位按钮的电压值,看是否对的。然后 再检查晶振是否起振了,一般用示波器来看晶振引脚的波形,注意应当使用示波器探头的“X10

30、”档。另一个办法是测量复位状态下的 IO 口电平,按住复位键不放,然后测量 IO 口(没接外部上拉的 P0 口除外)的电压,看是否是高电平,假如不是高电平,则多半是由于晶振没有起振。此外还要注意的地方是,假如使用片内 ROM 的话(大部分情况下如此,现在 已经很少有用外部扩 ROM 的了),一定要将 EA 引脚拉高,否则会出现程序乱跑的情况。假如系统不稳定的话,有时是由于电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个 0.1uF 的电容会有所改善。假如电源没有滤波电容的话, 则需要再接一个更大滤波电容,例如 220uF 的。碰到系统不稳定期,就可以并上电容试试(越靠近芯片越好)。1

31、3、最基本的三极管曲线特性答:三极管的曲线特性即指三极管的伏安特性曲线,涉及输入特性曲线和输 出特性曲线。输入特性是指三极管输入回路中,加在基极和发射极的电压VBE 与 由它所产生的基极电流 I B 之间的关系。输出特性通常是指在一定的基极电流 I B控制下,三极管的集电极与发射极之间的电压VCE 同集电极电流 IC 的关系 图(1) 典型输入特性曲线图(2) 典型输出特性曲线图(3) 直、交流负载线,功耗线14、什么是频率响应,怎么才算是稳定的频率响应,简述改变频率响应曲线的几个方法答:这里仅对放大电路的频率响应进行说明。 在放大电路中,由于电抗元件(如电容、电感线圈等)及晶体管极间电容的存

32、在,当输入信号的频率过低或过高时,放大电路的放大倍数的数值均会减少,并且还将产生相位超前或之后现象。也就是说,放大电路的放大倍数(或者称为增 益)和输入信号频率是一种函数关系,我们就把这种函数关系成为放大电路的频 率响应或频率特性。放大电路的频率响应可以用幅频特性曲线和相频特性曲线来描述,假如一个 放大电路的幅频特性曲线是一条平行于 x 轴的直线(或在关心的频率范围内平行 于 x 轴),而相频特性曲线是一条通过原点的直线(或在关心的频率范围是条通过 原点的直线),那么该频率响应就是稳定的 改变频率响应的方法重要有:(1) 改变放大电路的元器件参数;(2) 引入新的 元器件来改善现有放大电路的频

33、率响应;(3) 在原有放大电路上串联新的放大电 路构成多级放大电路。15、给出一个差分运放,如何进行相位补偿,并画补偿后的波特图答:随着工作频率的升高,放大器会产生附加相移,也许使负反馈变成正反馈而引起自激。进行相位补偿可以消除高频自激。相位补偿的原理是:在具有高放大倍数的中间级,运用一小电容 C(几十几百微微法)构成电压并联负反馈 电路。可以使用电容校正、RC 校正分别对相频特性和幅频特性进行修改。波特图就是在画放大电路的频率特性曲线时使用对数坐标。波特图由对数幅 频特性和对数相频特性两部分组成,它们的横轴采用对数刻度 lg f ,幅频特性的纵轴采用 lg |Au|表达,单位为 dB;相频特

34、性的纵轴仍用表达。16、基本放大电路的种类及优缺陷,广泛采用差分结构的因素基本放大电路按其接法分为共基、共射、共集放大电路。 共射放大电路既能放大电流又能放大电压,输入电阻在三种电路中居中,输出电阻较大,频带较窄共基放大电路只能放大电压不能放大电流,输入电阻小,电压放大倍数和输出电阻与共射放大电路相称,频率特性是三种接法中最佳的电路。常用于宽频带 放大电路。共集放大电路只能放大电流不能放大电压,是三种接法中输入电阻最大、输 出电阻最小的电路,并具有电压跟随的特点。常用于电压大电路的输入级和输 出级,在功率放大电路中也常采用射极输出的形式。广泛采用差分结构的因素是差分结构可以克制温度漂移现象。1

35、7、给出一差分电路,已知其输出电压 Y+和 Y-,求共模分量和差模分量设共模分量是 Yc,差模分量是 Yd,则可知其输 Y+=Yc+Yd Y-=Yc-Yd 可得 Yc=(Y+ + Y-)/2 Yd=(Y+ - Y-)/218、画出一个晶体管级的运放电路,说明原理下图(a)给出了单极性集成运放 C14573 的电路原理图,图(b)为其放大电路部分:图(a) C14573 电路原理图 图(b) C14573 的放大电路部分图(a)中T1,T2和T7管构成多路电流源,为放大电路提供静态偏置电流, 把偏置电路简化后,就可得到图(b)所示的放大电路部分。第一级是以 P 沟道管T3和T4为放大管、以 N

36、沟道管T5和T6管构成的电 流源为有源负载,采用共源形式的双端输入、单端输出差分放大电路。由于第二 级电路从T8的栅极输入,其输入电阻非常大,所以使第一级具有很强的电压放大能力。第二级是共源放大电路,以N沟道管T8为放大管,漏极带有源负载,因此也具有很强的电压放大能力。但其输出电阻很大,因而带负载能力较差。电容C起相位补偿作用。19、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,求这两种电路输出电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当 RCT 时,给出输入电压波形图,绘制两种电路 的输出波形图。答:当输出电压为C上电压时:电路的频率响应为

37、从电路的频率响应不难看出输出电压加在C上的为低通滤波器,输出电压加在R上的为高通滤波器,RCVth,当输出到达 VDD-Vth 时管子已经关断了。所以当栅压为VDD时,源级的最高输出电压只能为 VDD-Vth。这叫阈值损失。N 管的输出要比栅压损失一个阈值电压。因此不宜用 N 管传输高电平。P 管的输出也会比栅压损失一个阈值。同理栅压为0时,P 管 源级的输出电压范围为VDD到Vth,因此不宜用P管传递低电平。22、画电流偏置的产生电路,并解释。基本的偏置电流产生电路涉及镜像电流源、比例电流源和微电流源三种。下面以镜像电流源电路为例进行说明:23、画出施密特电路,求回差电压。答:下图是用 CM

38、OS 反相器构成的施密特电路:因此回差电压为:24、LC 正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。答:重要有两种基本类型:电容三点式电路和电感三点式电路。下图中(a)和(b)分别给出了其原理电路及其等效电路 (a) 电容三点式振荡电路(b) 电感三点式振荡电路25、DAC 和 ADC 的实现各有哪些方法?实现 DAC 转换的方法有:权电阻网络 D/A 转换,倒梯形网络 D/A 转换, 权电流网络 D/A 转换、权电容网络 D/A 转换以及开关树形 D/A 转换等。实现 ADC 转换的方法有:并联比较型 A/D 转换,反馈比较型 A/D 转换,双 积分型 A/D 转换和 V-F 变

39、换型 A/D 转换。26、A/D 电路组成、工作原理A/D 电路由取样、量化和编码三部分组成,由于模拟信号在时间上是连续信 号而数字信号在时间上是离散信号,因此 A/D 转换的第一步就是要按照奈奎斯 特采样定律对模拟信号进行采样。又由于数字信号在数值上也是不连续的,也就 是说数字信号的取值只有有限个数值,因此需要对采样后的数据尽量量化,使其 量化到有效电平上,编码就是对量化后的数值进行多进制到二进制二进制的转换。27、为什么一个标准的倒相器中 P 管的宽长比要比 N 管的宽长比大? 和载流子有关,P 管是空穴导电,N 管电子导电,电子的迁移率大于空穴,同样的电场下,N 管的电流大于 P 管,因

40、此要增大 P 管的宽长比,使之对称, 这样才干使得两者上升时间下降时间相等、高低电平的噪声容限同样、充电和放电是时间相等28、锁相环有哪几部分组成?锁相环路是一种反馈控制电路,简称锁相环(PLL)锁相环的特点是:运用外部输入的参考信号控制环路内部振荡信号的频率和相位。因锁相环可以实现 输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。 锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来锁相环通常由鉴相器(PD)、环路滤波器(LF)和压控振荡器(VCO)三部 分组成。锁相

41、环中的鉴相器又称为相位比较器,它的作用是检测输入信号和输出 信号的相位差,并将检测出的相位差信号转换成电压信号输出,该信号经低通滤 波器滤波后形成压控振荡器的控制电压,对振荡器输出信号的频率实行控制。 29、用逻辑门和 COMS 电路实现 AB+CD这里使用与非门实现:(a) 用逻辑门实现(b) 用 CMOS 电路组成的与非门图(a)给出了用与非门实现 AB+CD,图(b)给出了用 CMOS 电路组成的与非门,将图(b)代入图(a)即可得到用 CMOS 电路实现 AB+CD 的电路。30、用一个二选一 mux 和一个 inv 实现异或假设输入信号为 A、B,输出信号为 Y=AB+AB。则用一个

42、二选一 mux和一个 inv 实现异或的电路如下图所示:31、给了 reg 的 Setup 和 Hold 时间,求中间组合逻辑的 Delay 范围假设时钟周期为Tclk ,reg 的 Setup 和 Hold 时间分别记为 Setup 和 Hold。 则有:32、如何解决亚稳态 亚稳态是指触发器无法在某个规定期间段内达成一个可确认的状态。当 一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才干稳定在某个对的的电平上。在亚稳态期间,触发器输出一些中间级电平,或 者也许处在振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器 级联式传播下去。解决方法重要有:(1)减少

43、系统时钟;(2)用反映更快的 FF;(3)引入同步机制,防止亚稳态传播;(4)改善时钟质量,用边沿变化快速的时钟信号;(5)使用工艺好、时钟周期裕量大的器件33、集成电路前端设计流程,写出相关的工具。 集成电路的前端设计重要是指设计 IC 过程的逻辑设计、功能仿真,而后端设计则是指设计 IC 过程中的版图设计、制板流片。前端设计重要负责逻辑实现,通常是使用 verilog/VHDL 之类语言,进行行为级的描述。而后端设计,重要负责将前端的 设计变成真正的 schematic&layout,流片,量产。集成电路前端设计流程可以分为以下几个环节:(1)设计说明书;(2)行为级 描述及仿真;(3)R

44、TL 级描述及仿真;(4)前端功能仿真。硬件语言输入工具有 SUMMIT,VISUALHDL,MENTOR 和RENIOR 等;图形输入工具有: Composer(cadence),Viewlogic (viewdraw)等;数字电路仿真工具有:Verolog:CADENCE、Verolig-XL、SYNOPSYS、VCS、MENTOR、Modle-simVHDL:CADENCE、NC-vhdl、SYNOPSYS、VSS、MENTOR、Modle-sim 模拟电路仿真工具: HSpice Pspice,34、是否接触过自动布局布线,请说出一两种工具软件,自动布局布线需要哪些基本元素Protel

45、99se ORcad Allegro Pads2023 powerpcb 焊盘 阻焊层 丝印层 互联线 注意模拟和数字分区域放置 敏感元件应尽量避免噪声干扰 信号完整性 电源去耦35、描述你对集成电路工艺的结识集成电路是采用半导体制作工艺,在一块较小的单晶硅片上制作上许多晶体管及电阻器、电容器等元器件,并按照多层布线或遂道布线的方法将元器件组合成完整的电子电路。(一)按功能结构分类模拟集成电路和数字集成电路(二)按制作工艺分类 厚膜集成电路和薄膜集成电路。 (三)按集成度高低分类 小规模集成电路、中规模集成电路、大规模集成电路和超大规模集成电路(四)按导电类型不同分类 双极型集成电路和单极型集

46、成电路。 双极型集成电路的制作工艺复杂,功耗较大,代表集成电路有TTL、ECL、HTL、LST-TL、STTL等类型单极型集成电路的制作工艺简朴,功耗也较低,易于制成大规模集成电路,代表集成电路有CMOS、NMOS、PMOS等类型36、列举几种集成电路典型工艺,工艺上常提到0.25,0.18指的是什么制造工艺:我们经常说的0.18微米、0.13微米制程,就是指制造工艺了。制造工艺直接关系到cpu的电气性能,而0.18微米、0.13微米这个尺度就是指的是cpu核心中线路的宽度,MOS管是指栅长。37、请描述一下国内的工艺现状38、半导体工艺中,掺杂有哪几种方式39、描述CMOS电路中闩锁效应产生

47、的过程及最后的结果Latch-up 闩锁效应,又称寄生PNPN效应或可控硅整流器( SCR, Silicon Controlled Rectifier )效应。在整体硅的CMOS管下,不同极性搀杂的区域间都会构成P-N结,而两个靠近的反方向的P-N结就构成了一个双极型的晶体三极管。因此CMOS管的下面会构成多个三极管,这些三极管自身就也许构成一个电路。这就是MOS管的寄生三极管效应。假如电路偶尔中出现了可以使三极管开通的条件,这个寄生的电路就会极大的影响正常电路的运作,会使原本的MOS电路承受比正常工作大得多的电流,也许使电路迅速的烧毁。Latch-up状态下器件在电源与地之间形成短路,导致大

48、电流、EOS(电过载)和器件损坏。40、解释latch-up现象和Antenna effect和其防止措施.41、什么叫窄沟效应 当JFET或MESFET沟道较短,1um的情况下,这样的器件沟道内电场很高,载流子民饱合速度通过沟道,因而器件的工作速度得以提高,载流子漂移速度,通常用分段来描述,认为电场小于某一临界电场时,漂移速度与近似与电场强成正比,迁移率是常数,当电场高于临界时,速度饱和是常数。所以在短沟道中,速度是饱和的,漏极电流方程也发生了变化,这种由有况下饱和电流不是由于沟道夹断引起的而是由于速度饱和42、用波形表达 D 触发器的功能以电平触发为例进行说明,D 触发器的功能描述如下:当

49、时钟信号为低电平 时,触发器不工作,处在维持状态。当时钟信号为高电平时,D 触发器的功能为: 若 D=0,则触发器次态为 0;若 D=1,则触发器次态为 1。下图以波形形式来描 述 D 触发器的功能:43、用传输门和倒向器组成的边沿 D 触发器如下图: 44、画状态机,接受 1、2、5 分钱的卖报机,每份报纸 5 分钱。取投币信号为输入逻辑变量,投入一枚 5 分硬币是用 A=1 表达,未投入时用 A=0 表达;投入一枚 2 分硬币是用 B=1 表达,未投入时用 B=0 表达;投入 一枚 1 分硬币是用 C=1 表达,未投入时用 C=0 表达。由于每次最多只能投入一 枚硬币,因此除了 ABC=0

50、00、ABC=001、 ABC=010 和 ABC=100 四种状态为 合法状态,其它四种状态为非法状态。假设投入 3 个 2 分硬币或者投入 4 个 1 分硬币和 1 个 2 分硬币后,卖报机在给出报纸的同时会找会 1 个 1 分硬币。这是 输出变量有两个,分别用 Y 和 Z 表达。给出报纸时 Y=1,不给时 Y=0;找回 1 个 1 分硬币时 Z=1,不找时 Z=0。同时假定未投币时卖报机的初始状态为 S0, 从开始到当前时刻共投入的硬币面值为 1 分记为 S1,为 2 分时记为 S2,为 3 分 记为 S3,为 4 分时记为 S4。由上面的分析可以画出该状态机的状态转换表,如下表所示(方

51、便起见,这里 给出输入变量为非法状态时的转换表) 状态图如下所示45、用与非门等设计全加法器设加数为 A 和 B,低位进位为 C,和为 Sum,进位位为 Cout,则用与非门 设计的全加器如下图假如非门也用与非门实现的话,只需将与非门的两个输入端连接,置换到非门即可46、RS232c 高电平脉冲相应的 TTL 逻辑是? 一方面解释一下什么是正逻辑和负逻辑。正逻辑:用高电平表达逻辑 1,用低电平表达逻辑 0。负逻辑:用低电平表达逻辑 1,用高电平表达逻辑 0。在数字 系统的逻辑设计中,若采用 NPN 晶体管和 NMOS 管,电源电压是正值,一般采 用正逻辑。若采用的是 PNP 管和 PMOS 管

52、,电源电压为负值,则采用负逻辑比 较方便。除非特别说明,一般电路都是采用正逻辑 对于 RS232C 的数据线,逻辑 1(MARK)=-3V-15V ;逻辑 0(SPACE)=+315V,因此相应的 TTL 逻辑为负逻辑。47、VCO 是什么,什么参数(压控振荡器) ?VCO 即压控振荡器,在通信系统电路中,压控振荡器(VCO)是其关键部件, 特别是在锁相环电路、时钟恢复电路和频率综合器等电路中。VCO 的性能指标 重要涉及:频率调谐范围,输出功率,(长期及短期)频率稳定度,相位噪声,频 谱纯度,电调速度,推频系数,频率牵引等。48、什么耐奎斯特定律,怎么由模拟信号转为数字信号49、用 D 触发

53、器做个 4 进制的计数器由于是 4 进制计数器,因此只需两个 D 触发器即可,记进位输出为 Cout, 时钟信号为 CLK,则运用 D 触发器和门电路组成的 4 进制计数器如下图: 50、锁存器、触发器、寄存器三者的区别触发器:可以存储一位二值信号的基本单元电路统称为“触发器”。锁存器:一位触发器只能传送或存储一位数据,而在实际工作中往往希望一次传送或存储多位数据。为此可把多个触发器的时钟输入端CP 连接起来,用一个公共的控制信号来控制,而各个数据端口仍然是各处独立地接受数据。这样所构成的能一次传送或存储多位数据的电路就称为“锁存器”。寄存器:在实际的数字系统中,通常把可以用来存储一组二进制代

54、码的同步时序逻辑电路称为寄存器。由于触发器内有记忆功能,因此运用触发器可以方便地构成寄存器。由于一个触发器可以存储一位二进制码,所以把n 个触发器的时钟端口连接起来就能构成一个存储n 位二进制码的寄存器。区别:从寄存数据的角度来年,寄存器和锁存器的功能是相同的,它们的区别在于寄存器是同步时钟控制,而锁存器是电位信号控制。可见,寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据信号之间的时间关系:若数据信号有效一定滞后于控制信号有效,则只能使用锁存器;若数据信号提前于控制信号到达并且规定同步操作,则可用寄存器来存放数据51、D 触发器和 D 锁存器的区别D 触发器是指由时钟边沿触

55、发的存储器单元,锁存器指一个由信号而不是时 钟控制的电平敏感的设备。锁存器通过锁存信号控制,不锁存数据时,输出端的信号随输入信号变化,就像信号通过缓冲器同样,一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。52、有源滤波器和无源滤波器的原理及区别滤波器是一种对信号的频率具有选择性的电路,其功能就是使特定频率范围内的信号通过,而组织其它频率信号通过。其原理就是当不同频率的信号通过该电路时,具有不同的幅度衰减,通带内的信号衰减很小,而阻带内的信号衰减很 大。若滤波电路仅由无源元件(电阻、电容、电感)组成,则称为无源滤波器;若滤波电路不仅由无源元件,尚有有源元件(双极型管、单极性管、集成运放

56、)组成, 则称为有源滤波器。其区别重要体现在以下几个方面:(1) 有源滤波器是电子的,无源滤波器是机械的。(2) 有源滤波器是检测到某 一设定好的谐波次数后抵消它,无源滤波器是通过电抗器与电容器的配合形成某 次谐波通道吸取谐波。(3) 采用无源滤波器由于有电容器的因素,所以可提高功 率因素。采用有源滤波器只是消除谐波与功率因素无关。(4) 有源滤波器造价是 无源滤波器的 3 倍以上,技术相对不太成熟,且维护成本高;无源滤波器造价相 对较低,技术较成熟,安装后基本免维护。(5) 有源滤波器用于小电流,无源滤 波器可用于大电流。53、IIR,FIR 滤波器的异同IIR 是无限长冲激响应滤波器,FI

57、R 是有限长冲激响应滤波器。两者的比较 如下:(1) 在相同的技术指标下,IIR 滤波器由于存在着输出对输入的反馈,所以可用比 FIR 滤波器较少的阶数来满足指标的规定,所用的存储单元少,运算次数少, 较为经济 (2) FIR 滤波器可得到严格的线性相位,而 IIR 滤波器做不到这一点,IIR 滤 波器的选择性越好,其相位的非线性越严重。因而,假如 IIR 滤波器要得到线性相位,又要满足幅度滤波的技术规定,必须加全通网络进行相位校正,这同样会 大大增长滤波器的阶数。(3) FIR 滤波器重要采用非递归结构,由于无论是从理论上还是从实际的有限 精度的运算中它都是稳定的,有限精度运算的误差也越小。

58、IIR 滤波器必须采用 递归结构,极点必须在 z 平面单位圆内才干稳定,对于这种结构,运算中的四舍 五入解决有时会引起寄生振荡。(4) 对于 FIR 滤波器,由于冲激响应是有限长的,因而可以用快速傅里叶变换算法,这样运算速度可以快得多。IIR 滤波器则不能这样运算。(5) 从设计上看,IIR 滤波器可以运用模拟滤波器设计的现成的闭合公式、数据和表格,因此计算工作量较小,对计算工具规定不高。FIR 滤波器则一般没有 现成的设计公式,一般 FIR 滤波器设计仅有计算机程序可资运用,因而要借助于 计算机。(6) IIR 滤波器重要是设计规格化的、频率特性为分段常数的标准低通、高通、带通、带阻、全通滤

59、波器。FIR 滤波器则要灵活得多。54、冒泡排序的原理冒泡排序(BubbleSort)的基本概念是:依次比较相邻的两个数,将小数放在前面,大数放在后面。即一方面比较第 1 个和第 2 个数,将小数放前,大数放后。然 后比较第 2 个数和第 3 个数,将小数放前,大数放后,如此继续,直至比较最后 两个数,将小数放前,大数放后。反复以上过程,仍从第一对数开始比较(由于 也许由于第 2 个数和第 3 个数的互换,使得第 1 个数不再小于第 2 个数),将小 数放前,大数放后,一直比较到最大数前的一对相邻数,将小数放前,大数放后, 第二趟结束,在倒数第二个数中得到一个新的最大数。如此下去,直至最终完毕

60、 排序。由于在排序过程中总是小数往前放,大数往后放,相称于气泡往上升,所以称 作冒泡排序。55、操作系统的功能操作系统是管理系统资源、控制程序执行,改善人机界面,提供各种服务,合理组织计算机工作流程和为用户使用计算机提供良好运营环境的一种系统软 件。资源管理是操作系统的一项重要任务,而控制程序执行、扩充机器功能、提 供各种服务、方便用户使用、组织工作流程、改善人机界面等等都可以从资源管 理的角度去理解。下面从资源管理的观点来看操作系统具有的几个重要功能:(1) 解决机管理:解决机管理的第一项工作是解决中断事件。硬件只能发现中断 事件,捕获它并产生中断信号,但不能进行解决,配置了操作系统,就能对

61、中断事件进 行解决。解决机管理的第二项工作是解决器调度。解决器是计算机系统中一种稀有和宝 贵的资源,应当最大限度地提高解决器的运用率。(2) 存储管理:存储管理的重要任务是管理存储器资源,为多道程序运营提供有力的支撑,便于用户使用存储资源,提高存储空间的运用率。(3) 设备管理:设备管理的重要任务是管理各类外围设备,完毕用户提出的 I/O 请求,加快 I/O 信息的传送速度,发挥 I/O 设备的并行性,提高 I/O 设备的 运用率,以及提供每种设备的设备驱动程序和中断解决程序,为用户隐蔽硬件细 节,提供方便简朴的设备使用方法。(4) 文献管理:文献管理是针对系统中的信息资源的管理。在现代计算机中, 通常把程序和数据以文献形式存储在外存储器(又叫辅存储器)上,供用户使用, 这样,外存储器上保存了大量文献,对这些文献如不能采用良好的管理方式,就 会导致混乱或破坏,导致严重后果。为此,在操作系统中配置了文献管理,它的 重要任务是对用户文献和系统文献进行有效管理,实现按名存取;实现文献的共 享、保护和保密,保证文献的安全性;并提供应用户一整套能方便使用文献的操 作和命令。(5) 网络与通信管理56、IC 设计中同步复位与异步复位的区别 同步复位在时钟沿才复位信号,完毕复位动作。异步复位不管时钟,只 要复位信号满足条件,就完毕复位动作。异步复位对复位信号规

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!