简易逻辑分析仪的设计

上传人:wuxin****2020 文档编号:166143997 上传时间:2022-10-31 格式:DOC 页数:46 大小:493.50KB
收藏 版权申诉 举报 下载
简易逻辑分析仪的设计_第1页
第1页 / 共46页
简易逻辑分析仪的设计_第2页
第2页 / 共46页
简易逻辑分析仪的设计_第3页
第3页 / 共46页
资源描述:

《简易逻辑分析仪的设计》由会员分享,可在线阅读,更多相关《简易逻辑分析仪的设计(46页珍藏版)》请在装配图网上搜索。

1、毕 业 设 计 论 文题目:简易逻辑分析仪的设计系 别: 电气与电子工程系专 业: 电气工程及其自动化姓 名: 学 号: 指导教师: 平顶山工学院2008 年 05 月 28 日目录目录1摘 要2Abstract3简易逻辑分析仪4第一章 绪论41.1选题的目的和意义41.2逻辑分析仪的基本组成原理413逻辑分析仪的主要技术指标及发展趋势6第二章方案论证与比较72.1 数字信号发生器模块72.2逻辑分析仪模块8第三章 信号发生器实现方案113.1硬件组成113.2软件组成14第四章 简易逻辑分析仪方案实现154.1结构组成154.2数据采集和存储部分164.3逻辑状态与波形显示部分174.4简易

2、逻辑分析仪的软件流程234.5实时波形存储与上下翻页25第五章:操作说明265.1数字信号发生器操作方法275.2逻辑分析仪操作方法27第六章 附录28第七章总结42致谢43摘 要本系统由8位可预置的循环移位数字信号发生器、简易逻辑分析仪两部分组成。循环移位数字信号发生器由51单片机控制,可以产生8位可预置逻辑信号序列和时钟信号波形。其中一路输出电平可在05V内调节。采用162液晶显示各设置参数,显示直观,设置方便。简易逻辑分析仪采用双单片机协同工作的方式:其中一片单片机作输入控制、数据存储、数据显示及各控制参设置;另一片单片机控制D/A转换器输出波形到示波器。两单片机之间采用串行方式进行通信

3、。我们采用XY扫描方式的显示方法。X轴的锯齿波信号由D/A转换器产生,由于要同时显示8路信号,所以Y轴的信号由被测信号、时标信号和参考电平相加得到,在软件配合下,可以比较方便实现8路信号的稳定显示,同时也可显示时间标志线和触发点位置。在本设计中,数据采集采用触发字触发方式。信号采集电路中采用门限电压调节电路,可以采集0.254V门限的各种逻辑电平,存储深度达到24bit,示波器可以实现对8路24bit信号同时显示。关键字: 数字信号发生器 逻辑分析仪 D/A转换器 示波器 存储深度AbstractThe system is composed of two parts,the simple lo

4、gical analyzer and a digital signal generator whose value can be presetedThe signal generator is controlled by 51 sianal-chip microcomputer,and can both generate a 8 bit signal series and a CLK sigal.One of the voltage can be adjusted between 0 v and 5 v.All the parameters being referred will be dis

5、played in the Liquid-crystal display CA1602A,for which is very convenience.The simple logical analyzer adopts the way two sianal-chip microcomputers works together .One microcomputer controlls the input ,data storage,data display and the establishment of the data,and the another one controlls the D/

6、A converter to display the signal figure in the Oscilloscope.The two of the computers communicates with each other in the series way.Also we display the wave througr XY scanning way. The Saw-tooth wave signal in the X channel is forged in the D/A converter. Because the 8 groups signals will be diapl

7、ayed simultaneously,the voltage on the Y will be equalled with the sums of the reference voltage , the time indice signal and the sigals which will bu measured.With the help of the software,the 8 groups signals will be displayed stablely,also the time induce line and the trigger position will be sho

8、wed in the Oscilloscope.In this system,the data sampling is trigged bytrigger-words.For the using of the threshhold voltage adjustment circuit in the signal sampling circuits,logical level between 1.25 v and 4 v will be sampled,and the storage depth achieves 24 bit,and 8 groups 24 bit signal will be

9、 displayed in the Oscilloscope simultaneously.Key words: digital signal generator logycal analyzer D/A converteroscilloscope storage depth第一章 绪论1.1 选题的目的和意义随着集成电路技术的发展和计算机的应用,数字系统的实现方法也经历了由分立元件、小规模、中规模到大规模、超大规模,直到今天的专用集成电路(ASIC),然而其调试和检测也越来越复杂。逻辑分析仪作为电路设计的重要检测工具在现代电路设计中也发挥着越来越重要的作用。本人选简易逻辑分析仪的设计这个课题

10、有如下目的和意义:1、通过查阅资料了解当前行业前沿的设计思路和当今逻辑分析仪的现状和未来的发展方向;2、培养自己根据要求建立方案并对方案进分析和证论的能力以及自己的计算、和绘图能力;3、培养自己分析问题,灵活应用所学知识解决问题并将所学的知识与现实相联系的能力;4、培养自己通过利用各种渠道获取自己所需知识信息的能力;5、提高自己科技论文写作方面的能力;6、本设计作品可用于实验室中对电路进行简单的测试,同时避免了花费大比资金购买专用的逻辑分析仪,节约了资本。1.2 逻辑分析仪的基本组成原理逻辑分析仪是一种类似于示波器的波形测试设备,它可以监测硬件电路工作时的逻辑电平(高或低),并加以存储,用图形

11、的方式直观地表达出来,便于用户检测,分析电路设计(硬件设计和软件设计) 中的错误,逻辑分析仪是设计中不可缺少的设备,通过它,可以迅速地定位错误,解决问题,达到事半功倍的效果。逻辑分析仪是利用时钟从测试设备上采集和显示数字信号的仪器,最主要的作用在于时序判定。由于逻辑分析仪不像示波器那样有许多电压等级,通常只显示两个电压(逻辑1和0),因此设定了参考电压后,逻辑分析仪将被测信号通过比较器进行判定,高于参考电压者为High,低于参考电压者为Low,在High与Low之间形成数字波形。逻辑分析仪按照其工作特点, 可以分为逻辑状态分析仪和逻辑定时分析仪两类,它们的组成原理基本相同,区别主要是数据的采集

12、方式及显示方式有所不同。逻辑状态分析仪主要用于系统的软件分析,它在被测系统的时钟控制下进行数据采集,检测被测信号的状态,并用0和1, 助记符或映射图等方式显示.逻辑定时分析仪主要用于信号逻辑时间关系分析,一般用于硬件测试。 它在自身时钟的作用下,定时采集被测信号状态,以伪方波等形式显示出来以进行观察分析。目前的逻辑分析仪一般同时具有状态分析和定时分析能力. 根据硬件设备设计上的差异,目前市面上逻辑分析仪大致上可分为独立式(或单机型)逻辑分析仪和需结合电脑的PC-based卡式虚拟逻辑分析仪。独立式逻辑分析仪是将所有的测试软件、运算管理元件以及整合在一台仪器之中;卡式虚拟逻辑分析仪则需要搭配电脑

13、一起使用,显示屏也与主机分开。逻辑分析仪的种类繁多,在通道数量,分析速率,存储深度,触发方式及显示方式等各方面各不相同,但其基本组成结构是相同的.它主要包括数据捕获和数据显示两大部分.由于数字系统的测试,一般要观察较长时间范围的信号间逻辑关系或较长的数据流,才能进行分析,逻辑分析仪一般采用先进行数据捕获并存储数据,然后进行数据显示并观察分析.数据捕获部分包括信号输入,采样,数据存储,触发产生和时钟电路等.外部被测信号送到信号输入电路,与门限电平进行比较通过比较器整形为符合逻辑分析仪内部逻辑电平的信号(如TTL电平).采样电路在时钟 控制下对信号进行采样,采样获得的数据流送到触发产生电路进行触发

14、识别,根据数据捕获方式,在数据流中搜索特定的数据字(触发字),当搜索到符合条件的触发字时,就产生了触发信号。数据存储电路在触发信号的作用下进行相应的数据存储控制,而时钟电路可以选择外时钟或内时钟作为系统的工作时钟。数据捕获完成后,由显示控制电路将存储的数据以适当方式显示出来,以便对捕获的数据进行观分析。13 逻辑分析仪的主要技术指标及发展趋势根据逻辑分析仪的功能特点,衡量逻辑分析仪性能的主要技术指标有定时分析最大速率,状态分析最大速率,通道数,存储深度(每个通道可以存储的数据位数)出发方式,输入信号最小幅度,输入门限变化范围以及毛刺捕获能力等。大规模集成电路和计算机技术的飞速发展,对逻辑分析仪

15、提出了更高的要求。逻辑分析仪的性能在不断提高,以适应数字系统测试的需要。早期的逻辑分析仪测试速度慢,功能简单,而且定时分析仪与状态分析仪分属两中仪器。由于计算机和集成电路技术的发展,人们把定时分析与状态分析结合在一起,以便于计算机系统的软硬件分析。而且逻辑分析仪的分析速率,通道数,存储深度等技术指标也在不断提高.逻辑分析仪除了不断提高主要技术指标,其功能也在不断完善.如加强数据处理分析功能,不仅能进行反汇编代码显示,有的还可以进行高级语言的源程序显示;采用时间直方图检测程序各模块的执行时间,分析程序效率;用地址直方图监测程序模块活动情况,分析系统资源利用率。逻辑分析仪的另一个发展趋势是与时域测

16、试仪器示波器的结合。随着数字系统的速度加快以及结构的复杂化,单纯的逻辑分析仪已难以找出故障原因,此时要通过信号的混合分析才能完成故障诊断。混合信号分析,要求对信号进行逻辑分析的同时,对信号的波形细节进行观察,逻辑分析仪只能进行逻辑时序分析,示波器能观察波形,单独的逻辑分析仪或示波器都不能完成混合信号分析。这时可以将两者集成在一起构成混合信号分析仪,以实现更强的测试分析能力.同时逻辑分析仪也向逻辑分析系统的方向发展和多用途方向发展。第二章 方案论证与比较本题目实际由数字信号发生器和逻辑分析仪两个相对独立的部分组成,在这里也对它们进行分别介绍:2.1 数字信号发生器模块一、信号发生模块根据题目要求

17、,可以采用以下几种方案来实现循环移位序列:1、方案一:采用555定时器和可预置移位寄存器。用74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个波段开关接入,这样就可以产生循环移位序列。此方案简单可靠,但硬件复杂,不易扩展。2、方案二:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合本毕业设计的要求,并且PC体积大,携带不方便。3、方案三:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。4、方案四

18、:采用一片89C51单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,并且易扩展其他功能,并且我们学过单片机,对于这方面的知识,有一定基础,用此方案来实现8路循环移位信号,既复习了以前学过的知识,又锻炼了自己的能力。综合分析上述各方案,比较其优缺点,包括灵活性、可靠性、可扩展性和易操作性,所以选用方案四。二、信号检测模块信号检测模块主要用来提高输入阻抗,设置逻辑电平的门限电压。1、方案一:采用比较器实现。手动调节门限电压,利用可调电阻器调节每路输入比较器的参考电压,从而改变门限电压。2、方案二:采用比较器实现。利用D/A实现门限电压的调节,将D/A输出的电压作为比较器的参考电压,从而

19、改变门限电压。对于方案一,这种方法比较直接,但是实际调节起来却比较繁琐,而且在没有仪表指示的情况下很难做到准确调节;方案二能比较容易控制门限电压,且有四个D/A转换器集成的芯片LM339可以应用,接线也比较方便,所以选用方案二。2.2 逻辑分析仪模块要实现本题目的基本要求,主要实现数据采集存储和控制示波器显示的功能。其中数据采集功能要求可采集8路信号,存储深度选择为24位,数据量为248=24bytes,对于一般的单片机系统很容易实现。对于显示功能,要求用示波器显示清晰稳定的8路数字波形,再包括额外的时间标线和触发点的显示,则共需要、9个通道。对于示波器来说,为了显示的波形清晰稳定,一般要求扫

20、描的刷新频率25Hz。每显示一路信号,需要沿X轴扫描24个位,设定每个位需要显示10个点,则显示一个通道需要2410个点。同时显示9通道的数字波形,则共显示249=216个位,21610个点。由此可知,扫描一个点所需的时间为:1秒/(25祯*24位*9通道*10点)=18.5微秒/点。对于采用12MHz晶振的单片机来说,仅能执行大约15条指令。如果数据采集存储和控制显示功能由同一单片机来实现,处理起来十分困难。即使是24兆的单片,也只能执行30条左右而已。因而若用单MCU来实现,则须用更高性能的单片来实现。因此只用一片普通51单片来实现是不现实的。针对以上分析,提出以下方案:1、方案一:采用高

21、性能单CPU系统实现,比如32位的ARM芯片作为控制系统核心。该方案框图如图2.1:图2.1 应用高性能CPU实现的系统框图如果采用此方案,可以很好的解决同时采样和控制显示的功能,但是ARM系统设计调试复杂,且由于作者本人知识的局限性,不能很好的应用该方案实现系统设计,在此仅提出一种设想。所以不宜采用此方案。2、方案二:针对分析中提出的问题,我们也可以采用两片普通51单片机来实现系统设计,一片51实现数据采集,存储;另一片51实现控制示波器实时显示功能,两片51之间采用串行通信来解决数据通信问题,这样的方案可以满足题目提出的设计要求。系统框图如图2.2:图2.2 采用双单片机实现的系统框图3、

22、方案三:采用大规模FPGA来实现系统,采用FPGA来实现相应功能,一般是使用状态机方式来实现,即所解决的问题都是规则的有限状态转换问题。分析本题目的要求,可以看出,其中的逻辑控制灵活多变,适合于采用程序控制的cpu执行方式,如使用FPGA来实现,大部分的资源会消耗用来控制键盘和显示等辅助功能,用在主逻辑控制方面的资源相对比较少。另外,此种规模PLD系统设计复杂,容易出错。此方案系统框图如图2.3: 图2.3 采用FPGA实现的系统框图综合分析上述各方案,比较其优缺点,包括灵活性、可靠性、可扩展性和易操作性,所以选用方案二。第三章 信号发生器实现方案3.1 硬件组成硬件结构框图如图3.1:图3.

23、1 信号发生器硬件结构框图信号发生器由3个小模块构成1、 输出滞留稳压电源模块电路图如图3.2:图3.2 稳压电路原理图本模块主要完成对个电路供电的功能,主要由电源变压器、桥式整流器、电容滤波器、三端集成稳压器等组成。其作用是将交流电转换为平稳的直流电,核心部分是整流电路和稳压电路。电源变压器将交流220V电压变为7.5V交流电,经由桥式电路全波整流作用以后,得到脉动直流电,经C1滤波后得到9V的直流电,电容器C3为三端稳压器W7805的输入端补偿电容,其作用是消除输入端引线过长引起的自激振荡,抑制电源的高频干扰,安装时尽量靠近集成稳压器,C5、C7为输出端补偿电容,以改善输出瞬态响应。2、

24、信号发生器模块连接原理图如图3.3所示:图3.3 信号发生器电路原理图采用89C51产生逻辑循环序列信号,由P0口输出,即Q0-Q7的八路信号输出。输出序列信号由T0计数器溢出中断产生,采用方式1。MAX813L具有上电复位、Watchdog输出、掉电电压监视、手动复位四大功能。WDI(Watchdog Input)主要是作为Watchdog计数器重定用的。在1.6秒内若CPU不触发复位看门狗定时器,则WDO(Watchdog Output)将输出低电平。复位电路分为手工复位与上电复位。上电复位用比较器产生触发信号触发触发器,以此产生复位信号。同时,对时基产生的脉冲进行定时,当复位时间达140

25、毫秒时,Reset发生器产生一脉冲使复位信号无效。上电复位时,只要电压低于4.63V,复位信号Reset就有效;当电源电压超过4.63V时,Reset信号仍将继续保持140毫秒左右,以保证CPU复位可靠后无效。手动复位时,MR(Manual Reset)接地时间不小于150纳秒,则可产生一个手动复位过程。即在复位端产生140毫秒的有效复位信号(高电平有效)。若将WDO端与MR连接,则可组成上电复位及看门狗复位电路。MAX813L各管脚功能如表3.1所示:管脚MR_VCCGNDPF1PFO_WDIN.C.RESET_WDO_RESET功能手动复位输入电源接地掉电电压监视输出电压监视看门狗输入无连

26、接复位看门狗输出复位表3.1 MAX813L各管脚功能3、 显示部分 液晶显示选用CA1602A,LCD显示数据输出接P1口。液晶显示内容第一行为标题信息,第二行开始的8位0、1数字是循环输出的逻辑信号序列,接着输出的是信号的频率值。CA1602A LCD管脚功能列表如表3.2:管脚1234567-141516名称VSSVCCVDRSR/WEDB0-DB7LED_ALED_K功能电源地电源液晶显示偏压信号H:数据L:命令字H:读L:写使能端数据背光源正极背光源负极表3.2 CA1602A LCD管脚功能列表3.2 软件组成1、 流程图:程序流程图如图3.3所示。图3.3 信号发生器程序流程图2

27、、软件说明:在初始化部分,其频率值F的具体计算如下所示:100HZ信号的周期为:1/100=0.01S=10000VS12MHZ的单片机机器周期为:12/12=1VST0的计数初值:NUM= 6553610000=55536 输出时钟频率为:F=100HZ,则一个时钟周期循环输出8位序列中的一位。要输出较为精确的频率,用计算到的常数进行循环产生脉冲,并按执行实际情况适当进行调整计数初值,使实际周期准确。第四章 简易逻辑分析仪方案实现4.1 结构组成本分析仪由数据采集存储和控制显示两部分构成:数据采集存储模块由信号输入电路、89C51单片机、小键盘和液晶显示模块组成;逻辑状态与波形显示模块由D/

28、A变换器(TLC7226)和89C51构成。两模块之间采用串行通信方式。结构框图如图4.1所示:图4.1 简易逻辑分析仪结构框图框图解释:首先,通过键盘和LCD进行人机交互,设置相应的阈值信息和触发信息,然后MCU1通过串行通信把这些控制信息传给MCU2,由MCU2控制D/A转换器产生阈值电压,该电压作为电压比较器的基准电压,与8位循环移位数字信号进行比较,产生数字信号到MCU1,该单片机把采集到的数据存储起来,然后通过串行通信把数据传给MCU2,MCU2把这些数据经过拼装整理以后,在示波器上显示出来。4.2 数据采集和存储部分此部分对应框图中的MCU1、电压比较器、键盘和LCD液晶显示1、

29、输入电路:八路输入信号通过电压比较器LM339和D/A转换器TLC7226提供的基准电压作比较后,作为存储单片的输入,8路信号接入LM339同相输入端,可以获得较大阻抗。LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1)失调电压小,典型值为2mV;2)电源电压范围宽,单电源为2-36V,双电源电压为1V-18V;3)对比较信号源的内阻限制较宽;4)共模范围很大;5)差动输入电压范围较大,大到可以等于电源电压;6)输出端电位可灵活方便地选用。其引脚图如图4.2:图4.2 LM339引脚图2、 触发和存储原理:本部分功能主要依靠51单片机来实现。根据题目要求,对逻辑信号的采集

30、我们采用触发字来触发的方式。触发字又分单级触发字和三级触发字两种,单级触发字的预置依靠小键盘输入实现。当单片机采集到的状态字和用户所提供的触发字8位逻辑状态完全一致时,开始一次数据采集,连续采集24位,然后存储到显示缓冲区中,这样每个显示通道的存储深度为 24bit;对于三级触发字方式,设定从外部采两位的状态,连续取三次,都和我们设定的两位逻辑状态一样的情况下,将进入3级触发采集状态,开始采集。采集完24位数据后,存储起来。为了同时显示8路信号,所以数据送去显示前要把采集到的数据进行拼装处理,把采集到的24个字节排列成适合显示的8通道数据组合,每一通道存放从同一输入端口采集到的24BIT信息即

31、组合形成8个存储深度为24BIT的数据显示通道,这样就可以把数据依次送到示波器上按行扫描显示。4.3 逻辑状态与波形显示部分此部分对应框图中的MCU2、D/A转换器和示波器。本部分的主要难点集中在示波器显示控制上,我们先对示波器的显示方法进行分析讨论:1、显示方法分析:要能同时在示波器上看到8路信号波形,模拟示波器需要用外接扫描信号方式工作示波器上显示数字信号发生器的8路信号和1路触发点位置标识,共需9个通道。同时显示这9个通道的信号,需要采用动态扫描的方法:借助9个不同的基准电压,使显示的9路波形分别处在不同的位置上,即把要在示波器上显示的信号电平Qi+Vi偏置电平(i=07)加与示波器Y轴

32、输入端。X轴输入则是由TLC7226提供的线性锯齿波。显示的信号电平Qi+Vi其叠加效果如图4.3的Y+所示:图4.3 信号电平Qi+Vi叠加效果图具体扫描方式有三种方案可供选择:(1)按行扫描方式,X用外触发方式,扫描10次扫完一屏。要外接线性的锯齿波信号,和上述说明中没有变化。按行扫描送的数据是把数据采集存储24BIT深度后,把Q0-Q7的数据串行送到示波器,即先送Q0的24BIT,再依次送Q1-Q7的24BIT,扫完一屏要送9次(还有时标数据)。时标的扫描方法:扫描光标是单独进行的一行扫描。我们在扫描光标亮点的时候,给提供一个小平台电压,这样扫描光点在平台电压的持续时间内水平方向不移动,

33、而与此同一时间,方向上快速扫描,形成一竖直的时标线。这样X轴的电压是带一个小平台的锯齿波。(2)按行扫描方式,X用外触发方式,扫描9次扫完一屏,X、Y接法和方案一一样。此扫描方法没有单独去实现时标的显示扫描,时标的扫描显示通过将时标分成9次显示分别在各频道的扫描显示中打点显示,由于一屏的扫描时间为(1/25)S,眼睛是觉察不到时标的分段显示过程的。这样也可减少一次扫描时间,提高显示质量,且同样可以实现方案二中的竖直时标线,也不至于造成产生小平台锯齿波的问题。此方法较简单,且效果也不错。(3)按列扫描方式,X采用外触发方式,故X、Y接法同方案2。具体扫描过程是:按列扫描时送数据的顺序是8位为一组

34、,即单片机采集一次的Q0-Q7共8BIT数据,扫完一屏需送24次。扫描第一个通道的10点(即1BIT)结束后,X输入的电平立刻变为此BIT的起始处的电平值,Y方向同时变化一台阶,再扫描第二个频道的10点,重复第一频道的操作,一直扫描到存储深度24(第0页),则扫完一屏。此方案中X端的不规则锯齿输入的提供也是一个问题,这种方案情况下的时标输入也是先计算好位置,在单BIT扫描中打点实现的。综合以上几种方案的分析比较,我们选用方案2,此方案灵活简单,实现起来相对方便,而且可以达到较好的效果。2、具体硬件实现:(1)D/A转换器:TLC7226引脚图如图4.4图4.4 TLC7226引脚图TLC722

35、6提供的基准电压变化范围大(05V),可适应各种输入信号的逻辑电平,提高了测量范围和测量精度。此输入电路在本设计中,由于信号发生器输出TTL电平,没有将这一优点体现出来。数据显示模块用一片162A液晶显示,用高四位传输数据,接法与信号发生器中一样。TLC7266加示波器显示模块产生周期相同的X和Y信号,便于波形显示的同步,且可以显示较复杂的波形。但单片机通过D/A转换器产生波形产生信号波形时,输出频率会受到单片机本身工作速度的限制(12MHZ晶振主频时,机器周期为1us);其次,要有一定的显示质量,在信号的一个周期内取样点不能太少,这进一步限制了信号的频率。TLC7226是高性能D/A转换器,

36、片内包含四路8位电压输出数/模转换器(DAC),每个DAC都有分离的片内锁存器,数据通过一个公共的8位TTL/CMOS兼容(5V)输入口送入这些数据寄存器之一。由A0和A1决定/WR变低时哪个DAC被加载。在/WR的上升沿,数据被锁存在被寻址的输入寄存器,只有保存在DAC寄存器中的数据决定转换器的模拟输出。由D/A转换器TLC7226和示波器组成,采用一片TLC7226产生三路模拟信号,OUT C提供基准电压,使逻辑信号门限电压在05V范围内按32级变化;OUT B提供线性锯齿波作为示波器X方向输入,用这个线性增长的电压作为扫描电压控制电子束移动,以产生示波器上光点的水平移动;OUT A提供Y

37、轴偏置电平以区分各频段显示位置,一共需要9个电平以区分9个频段的输出显示。此9个偏置电平和T0输出的信号相叠加,实现T0信号在示波器上的分通道显示。(2)产生和使用门限单片机通过对D/A设置不同输出电压,得到门限电平从D/A转换器输出到LM339反相输入端。(3)产生Y轴偏置信号针对分通道显示时,并不是简单的叠加偏置电平就可以的,因为叠加到偏置电平上的信号电压本身可能就很高,超过相邻偏置电平的变化值,这样就会发生相邻频道信号甚至多频道信号波形的叠加,这样分通道实现多路显示也就已经没有了任何意义。考虑到这种情况,就需要对信号叠加偏置电压前进行限幅处理,以使各路频道信号均匀的分布于示波器的屏幕上得

38、以清晰显示。并且要保证各信号之间要有一定的空白间隔,以区分显示9个频段。针对此问题,设计了如下电路图如图4.4所示作为示波器的输入电路来实现在示波器上清晰显示,此电路不仅解决了上面我们注意到的存在问题即输入电平的幅度问题,同时简单的实现了时标的扫描显示。这是一个同相求和的运算电路,运放接成电压跟随器形式:图4.4 示波器输入电路原理图 Vdot、Vdat、Vbase分别通过R1、R2、R3接运算放大器的同相输入端V2,并通过电阻R4接地,运算放大器的输出端接入反相输入端,接成电压跟随器。由电压跟随器的特点可列写:Vo=V1 ,V1=V2V2R4 + (V2dot)R1(V2dat)R2+(V2

39、base)R3=0所以 V0=K1*dot +K2*dat+ K3*bas其中: K1=KR1 ; K=KR;K=KR ;R1 R RR ;Vbas是在05v范围内变化的模拟电压则在V0处的变化范围是02.68V即V0=2.68;要在示波器上实现9频道的输出,则每一频道所占的变化电压是:V=V0 / 9=0.29;要使各频段的波形由空白间隔的显示,则让显示波形占频道的4/5,而留下1/5的空白区分各频道的波形使之不会发生相邻波形的重影现象,这样由于加法器的输入端接法,使得本来应该是05V的大幅度变化变为02.68V小幅度输出,再被9个频段平分,这时可计算得到每频道内显示的波形电压幅值为:V2=

40、V* 4 / 5=0.232V;使dat的值从低电压0V变到5V时,调节R2合适数值,使V2恰好为0.232V;若要显示光标,则需在空白的中间显示,这样叠加在V2上显示 时标亮点的V1=(V/5)/ 2=0.029V;此时使dot的值从低电压0V变到高电压5V时,调节R1数值使 V1恰好为0.029V;实际电路调节合适后可以得到电路的各参数:R1=2M欧 ,R2= 470K欧,R3= 36K欧,R4= 47K欧Vdot=0时,为正常的扫描模式:Vdot=1时,为打点扫描模式:在屏幕上显示的效果为:第一行为触发点显示行,在没有触发点的时候触发点显示行显示一条低电平的亮线,有触发点时则在触发字处显

41、示持续一个周期的高电平,然后降下来显示低电平亮线,所以出现1BIT的高电平时,则表明有触发点且触发点就在这一位上,并且此触发点的位置是可以人工调节的。第二行第九行依次显示采集到的Q0Q7信号逻辑状态。时标的扫描是通过Vdot和输入显示的Vdat相叠加,因而会在显示的数据电平上叠加一个V1,连续扫描4个点;若原来的Vdat是高电平,则接着将原来光标的显示电平减去V2,这样光标相当于叠加在此频道的输入低电平上,反之,若原来的Vdat是低电平,则在原来光标的显示电平加上V2,这样光标相当于叠加在此频道的输入高电平上,再连续扫描4点后,这样就在这一频道上出现两条有高度差的小光标,由于一个持续电平时间时

42、间较短,两段光标有倾斜度,仔细观察是会发现每个频道的时标段都有一定的小弧度,但对显示效果的影响不明显,可以忽略。同样的方法扫描完一屏后,每个频段都在此位上有两段亮点,竖向看起来就是一条接近竖直线的亮线显示于屏幕上,这就是我们想要显示的时标。时标可以左右移动,也可以实现显示消隐。不显示时标时,使Vdot=0即可。4.4 简易逻辑分析仪的软件流程简易逻辑分析仪的开机状态:阈值为2.5V,开机初始为未触发状态,为示波器工作模式,LCD显示第0页信息,时间标志线在屏幕最左端。逻辑分析仪数据采集流程图如图4.5:START初始化MCULCD扫描键盘有键?显示:welcome to lg-1local a

43、nalsy菜单no进入功能设置完成?YESNOINT0中断进入中断,读取数据 中断返回进入中断,发送数据INT1中断中断返回图4.5 逻辑分析仪数据采集流程图数据显示流程图如图4.6:图4.6 逻辑分析仪数据显示流程图4.5 实时波形存储与上下翻页在没有触发字的状态下,简易逻辑分析仪做示波器使用,将采集到的信号即时发送到MCU2上实现对信号的实时显示,如果想保存波形可以通过STORE功能键完成,将显示器上的波形信息保存下来,恒定显示在示波器上,也可以以后回放观看。实时波形存储,既方便又快捷。 通过STORE键操作,我们可以随时存储实时的波形.在正常的数据传递、波形显示的情况下,按下STORE保

44、存下当前的波形,以备以后回放之用。此时,正常的数据传递被打断,简易逻辑分析仪将波形恒定的显示在示波器上,直到通过按键操作被释放为止。由于我们的简易逻辑分析仪采用双页式存储结构,可以存储两显示屏的数据,所以我们通过UP/DOWN 键来翻看波形情况。在实时波形存储情况下,可以存储两显示屏的波形信息。查看这些波形信息,我们只需要通过UP/DOWN键就可以上下翻看两屏波形信息。我们称此为实时波形存储与上下翻页。实时波形存储与上下翻页功能的开发是基于示波器的显示存储思想而来的。通过软件编程,我们可以方便的分析数字逻辑信号的逻辑功能,直观的显示出来,还可以方便地存储我们想要的波形信息并回放。这是本简易逻辑

45、分析仪的特色功能之一。第五章:操作说明5.1 数字信号发生器操作方法控制部分 由四个按键,四按键组成独立式键盘接P2口,1-4号键依次接P2.0P2.3。1号:SET键按下号则信号发生器进入设置状态,按下号后其它键按下才会有效,否则,信号发生器不理睬2,3,4号键的按下。2号:0信号输入键 3号:1信号输入键2,3号为循环移位逻辑信号序列预制输入键 输入预置序列时,依次按2或3号键置入0、1序列,实现预置可变序列。4号:ENTER键 按下4号则结束设置状态。5.2 逻辑分析仪操作方法控制接口部分由8个按键组成 : 1号:SET键 2号:0/1键 3号:UP/DOWN 键 4号:读出键 5号:存

46、储键 6号:LEFT键 7号:RIGHT键 8号:CR确定键按键功能说明:1、设置键共有3种设置选择方式:单极触发方式字设置A、多级触发方式字设置B、阈值设置C 设置键按下一次,进入设置状态;按下第二次时,则进入A方式;按下第三次时,则进入B状态;按下第四次时,进入C方式。2、0/1键: 设置状态下,实现预置序列的设置。按2号一次则输入0,再按一次则输入1。依次按动则0、1交叉输入,设置序列时,若需修改可按一下6号键,则显示光标向前移动一位,按7号键,光标向后移动。非设置状态下,实现分页显示。按2号键一次,则显示第1页,第一页的存储深度为25-48BIT,默认状态下显示第0页,存储深度为24B

47、IT。3、UP/DOWN键:设置状态下实现阈值设置,此键按动一次,则使阈值增或者减4V/16=0.25V4、读出键:按下此键则在示波器上显示存储的波形5、存储键:存储当前示波器上显示的波形数据6、7后退和前进键:设置状态下,实现触发位置可调。按6键一次,则显示触发点前的第一个状态字,按6键两次,则显示触发点的第2个状态同理,按7一次,则显示触发点后的第1个状态我们设计显示出发点前后不超过5个状态。设置状态下的读出键按下后,再按动6、7可实现时间标志线可移动。8、CR确定键:按动此键,完成输入状态,退出设置状态第六章 附录简易逻辑分析仪的数字信号采集、处理、串行发送程序.LOGICAL ANAL

48、IZERmain.c 12MHz#include #include #include #define lint unsigned long#define uint unsigned int#define uchar unsigned charidata struct series uchar Series48; /信号信号序列48位2页 uchar Time_flag_line; /定义时标 uchar Trigger_Position; /定义触发位置 uchar Gate_Voltage;/门限 Series;uchar temp13;uchar Series_Number=0;uchar

49、 DispArray8,DispArray_Temp8; /显示序列uchar Trigger_Word=0x03,Trigger_Word_Temp; /单触发字uchar Trigger_Level=0,Trigger_Level_Temp; /触发类别int Trigger_Position_Temp=0,j=0;uchar key; uchar i,temp;uchar idata PageFull; bit enter=0;bit sent=0; /发送完成bit flag0=0,flag1=0,flag2=0,flag3=0,flag4=0,flag5=0;bit start_fl

50、ag=0; uchar setmode=0; /setmode:0 无设置; 1:Trigger_Level=1(设置触发字); 2:多级触发设置; 3:门限设置sbit WDI=P27;extern uchar readkey();/ NOKEY FF;set 00; 0/1 01;updown 02;read 03;store 04;left 05;right 06;ENTER 07.extern void Disp_Init();extern void Disp_LocateCursor(const uchar );extern void Disp_HideCursor(void);ex

51、tern void Disp_ClearInfoLine(void);extern void Disp_ClearFuncLine(void);extern void Disp_InfoLinePos(uchar, const char *);extern void Disp_InfoLine(const char *);extern void Disp_FuncLine(const char * );extern void Disp_InfoLineSlideFromRight(const char *);extern void Disp_FuncPos(uchar, const char

52、*);extern void Disp_Notice(const char *);extern void Transfer(void);/Disp Functionvoid sys_init(void);void intt0P(void);void SeriesDisp(char); /Series to DispArray;void TriggerWordTempDisp(void);void TriggerWordDisp(void);void start_hello(void);void DispTrigger_Position_Temp(void);/Trans Functionvoi

53、d DispArraytoTriggerWord(void);void DispArraytoSeries(void); /DispArray to Series;void DispPosition(char);void GateVoltageDisp(char);void Read_data(void);extern void Send_Data(void);void StoreWaves(void);void frequency_count(void);void exit(void);void delay1s(void);/LOGICAL ANALISTvoid main (void)/*

54、INITIALIZE 89C51 */sys_init();/lcd welcome informationstart_hello();delay1s();delay1s();delay50ms();delay50ms();WDI=0;WDI=1;for(i=0;i8;i+) DispArrayTempi=DispArrayi;Trigger_Word_Temp=Trigger_Word;/Disp_InfoLineSlideFromRight(SET:TRG CUR TIM);/Disp_FuncLine( SET SET);while(1)if(j=30000)j=0; Disp_Info

55、LineSlideFromRight(SET: TRG CUR TIM); Disp_FuncLine(KEY: SET SET);j+;flag1=0;/key operationkey=readkey();switch(key)case 0x00: while(flag1=0) setmode=1; /set Disp_InfoLine(TRL TRW TRP GVO); Disp_FuncLine( 0 1 RD ST); flag0=0; key=readkey(); switch(key) /0/1/read /trigger level case 0x01: while(flag0

56、=0) Disp_InfoLine( TRIGGER LEVEL ); Disp_FuncLine( 1L); key=readkey(); if(key=0x05) Trigger_Level_Temp=0; if(key=0x06) Trigger_Level_Temp=1; if(key=0x07) flag0=1; if(Trigger_Level_Temp=0) Disp_FuncLine( ZERO LEVEL ); if(Trigger_Level_Temp=1) Disp_FuncLine( ONE LEVEL ); delay1s(); break; /Trigger_pos

57、ition case 0x03: flag2=0; Trigger_Position_Temp=Series.Trigger_Position; DispPosition(Trigger_Position_Temp); while(flag2=0) Disp_InfoLine(TRIGGER POSITION); DispPosition(Trigger_Position_Temp); key=readkey(); if(key=0x05)&(Trigger_Position_Temp-3) Trigger_Position_Temp-; if(key=0x06)&(Trigger_Position_Temp19) Trigger_Position_Temp+; if(key=0x07) flag2=1; Series.Trigger_Position=Trigger_Position_Temp+3; Trigger_Position_Temp=0; /DispPosition(Series.Trigger_Position); break; /trigger_word case 0x02:j=0;flag3=0; /fla

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!