序列检测器的设计

上传人:lis****210 文档编号:165866849 上传时间:2022-10-30 格式:DOCX 页数:9 大小:289.09KB
收藏 版权申诉 举报 下载
序列检测器的设计_第1页
第1页 / 共9页
序列检测器的设计_第2页
第2页 / 共9页
序列检测器的设计_第3页
第3页 / 共9页
资源描述:

《序列检测器的设计》由会员分享,可在线阅读,更多相关《序列检测器的设计(9页珍藏版)》请在装配图网上搜索。

1、实验报告学院:专业:电子信息工程班级:姓名学号实验组实验时间2019.6指导教师成绩实验项目名称序列检测器的设计一、实验目的1、了解用状态机图的方法实现序列检测器的方法。2、实现一个11010串行序列检测器,用VHDL语言实现。3、掌握元件例化语句的使用方法,掌握利用VHDL语言实现层次化设计的方法。序列检测器是一种重要的时序功能器件,在数据通信、雷达和遥测等领域中 用于检测同步识别标志。更具体地说,它是一种用来检测一组一定长度的序 列信号的电路。其含义是当电路收到一组特定的串行信号(11010)后,输出 标志Z在最后一位有效码到来时输出为1,否则输出为0。且序列可以重复使 用。X CP RS

2、T序列检测图4-1序列检测示意图11010的状态机图如图4-2所示S0表示未收到1,S1表示已经收到1, S2表示已经收到11,S3表示收到110,S4表示收到1101。实 验 内 容实验内容1、用VHDL语言编写11010序列检测器源程序。2、用VHDL语言设计一个包含11010和一个不包含11010序列的序列发生器(可在 实验三基础上修改)。将上述两个序列发生器用元件例化语句,构成一个顶层文件,并 编译,仿真。实 验 步 骤1、建立工程2、添加VHDL文件3、编写VHDL程序4、改错5、导出电路图 波形仿真实 验 数 据1、用VHDL语言编写11010序列检测器源程序 library ie

3、ee;use ieee.std_logic_1164.all;en tity xuleijia nee isport(clk,rst,x:in STD_L0GIC;z:out STD_L0GIC);end xuleijia nee;architecture behave of xuleijia nee isTYPE STATE is (S0,S1,S 2,S3,S4);SIGNAL S :STATE;beginPROCESS(clk,rst)BEGINIF rst=0 THENSIF x=T THENSIF x=T THENSv=S2;ELSESIF x=0 THENSIF x=T THENS

4、=S4;ELSESIF x=T THENS=S2;ELSES=S0;END IF;END CASE;END IF;END PROCESS;Zv=T WHEN S=S4 AND X=0 ELSE O; END behave;仿真结果RTL电路图2、级联程序如下:新建一项目(xuliejilian),将实验三序列发生器VHDL程序和上面序列检测器程序 都加到该项目中去。然后新建一顶层文件,将这序列发生器和序列检测器连接起来,具 体结构如下:图4.3序列发生与检测级联结构图源程序如下。library ieee;use ieee.s td_logic_1164.all;entity xuliejili

5、an isport(clk,rst:in STD_LOGIC;z:out STD_LOGIC);end xuliejilian;architecture behave of xuliejilian isCOMPONENT xulie port(clk,rst:in STD_LOGIC; x:out STD_LOGIC);END COMPONENT;COMPONENT xuleijiance port(clk,rst,x:in STD_LOGIC; z:out STD_LOGIC);END COMPONENT;SIGNAL S :STD_LOGIC;BEGINU1: xulie port MAP

6、(clk,rs t,S);U2:xuleijiance port MAP(clk,rs t,S,z);END behave;仿真结果:u LnXa -mt1 MU HCDCMClll. 9 tJTCffl, ba a i * ihn ! ii-i ii i.b i aa liari bri i aaiifai fmm ETaprcc-a F- xhJJ.i31Lk -c nXLuJ alLui hDBtlLK_Cpcnv7i4PL4V4l CEV*Pim4ftB V*MCTHip tMbLt#这样就有我们想要的结果了。ELSIF elk EVENT AND clk=l THENIF Q=110

7、 THENQ=000;ELSEQ=Q+1;END IF;END IF;end process;x=1 when q二000 or q二001 or q=110or q二011 else O;end behave;仿真结果:戸 IM -?iMJhliM 4 F-ii tfrd业Fen悒44卄ftwIaj Fi-mw CP-MflNUMII 9 v ittTn p wied nn4H n 44 0 mrI m 瀏 p 400報 p 4 W .1 |实 验 总 结这次实验让我明白了,做实验一定要注意观察。在实验中我一直在为得不到正确的结果 而苦恼,经过我不断的观察,最终发现,序列发生器产生的波形不是序列检测器所能检 测到的,之前的序列发生器产生的代码为1100101,而序列检测器检测的是11010。经 过修改后检测波形如期而至。这次实验我也加深了对于兀件例化的学习,学会了如何用 VHDL语言去连接元器件。指 导 教 师 意 见签名:年月日

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!