频率计程序设计与仿真

上传人:mar****e5 文档编号:164097288 上传时间:2022-10-24 格式:DOCX 页数:23 大小:805.92KB
收藏 版权申诉 举报 下载
频率计程序设计与仿真_第1页
第1页 / 共23页
频率计程序设计与仿真_第2页
第2页 / 共23页
频率计程序设计与仿真_第3页
第3页 / 共23页
资源描述:

《频率计程序设计与仿真》由会员分享,可在线阅读,更多相关《频率计程序设计与仿真(23页珍藏版)》请在装配图网上搜索。

1、课程设计任务书学生姓名:龙威专业班级:通信1103指导教师:陈适 工作单位:信息工程学院题 目:频率计程序设计与仿真课程设计要求1 采用测频法2 设计一个 4 位十进制数字显示的数字频率计3 其测量范围为 1-9999kHz指导教师签名:年 月 日系主任(或责任教师)签名:年 月 日目录1. 概述 42. 数字频率计原理与框图53. 数字频率计的设计63.1 放大整形电路63.2 时基电路63.3逻辑控制电路83.4计数、锁存、译码显示电路的设计94. Proteus 仿真125. 心得体会156. 参考文献16附录一数字频率计总图17附录二元件清单18附录三仿真图19附录四程序21摘要在电子

2、技术中,频率是最基本的参数之一,并且与许多电参量的测量方案 测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方 法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便 于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有 两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二 是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测 频法适用于低频信号的频率测量。本文阐述了用数字电路设计了一个简单的数字 频率计的过程。频率测量中直接测量的数字频率计主要由四个部分构成:时基(T)电路、 输入电路、计数显示电路以及

3、控制电路。在一个测量周期过程中,被测周期信号 在输入电路中经过放大、整形、微分操作之后形成方波信号,加到与非门的另一 个输入端上.该与非门起到主阀门的作用 ,在与非门第二个人输入端上加阀门控 制信号,控制信号为低电平时阀门关闭,无信号进入计数器;控制信号为高电频时, 阀门开启整形后的信号进入计数器,若阀门控制信号取Is,则在阀门时间Is内计 数器得到的脉冲数N就是被测信号的频率。频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非 常广泛的应用范围。在传统的生产制造企业中,在计量实验室中,在无线通讯测 试中都需要用到频率计。本频率计是由ne555产生时基信号,控制闸门与非门的 导通

4、,计数器74ls90计数,单稳态触发器741S123触发锁存数据,七段共阴数 码管显示频率。该设计方案简单、实用、经济,能够测量010KHz幅度为0.25V 的方波信号的频率,且精度为 1Hz。关键字:频率计、计数器、锁存器、脉冲形成电路1.概述数字频率计是通过一个频率稳定度高的频率源作为基准时钟,对比测量其他 信号的频率。通常是计算每秒内的脉冲个数,也就是我们所称的闸门时间为 1 秒。闸门时间不定,但闸门时间影响频率计的准确度,闸门时间越长,得到的频 率值就越准确,但闸门时间越长则没测一次频率的间隔就越长。闸门时间越短, 测的频率值刷新就越快,但测得的频率精度就受影响。数字频率计是用数字显示

5、 被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。 如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速, 声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 本次课程设计中画图与仿真主要用到了 Proteus软件,Proteus是一款电路分 析实物仿真系统,可仿真各种电路和IC,元件库齐全,有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器。具有模拟电路仿真、数字电路仿真、 单片机及其外围电路组成的系统的仿真,使用和操作起来非常方便。2.数字频率计原理与框图所谓频率,就是周期性信号在单位时间内变化的次数.若在一定时间间隔t内测得这个周

6、期性信号的重复变化次数为n则其频率可表示为f nt若在闸门时间1S内计数器计得的脉冲个数为n则被测信号频率等于nHz。数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方 波或其它周期性变化的信号。它一般由放大整形电路、时基电路、逻辑控制电路、 闸门电路、计数器、锁存器、译码器、显示器等几部分组成。其基本原理是用一 个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下 计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。计数信号并与锁 存信号和清零复位信号共同控制计数、锁存和清零三个状态,然后通过数码显示 器件进行显示。图 2-1 数字频率计整体框图3. 数

7、字频率计的设计3.1 放大整形电路放大整形电路由晶体管 放大器与 74LS00 等组成,放大器将输入频率为的周 期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对 放大器的输出信号进行整形,使之成为矩形脉冲。以便进行测量。其中由c5端 输入未知频率的波, 74LS00 组成的施密特触发器将从晶体管放大器放大的信号 进行整形变换,得到需要的方波。电路图如图 3-1 所示。图 3-1 放大整形电路3.2 时基电路时基电路的作用是产生一个标准时间信号,高电平持续时间是Is,由定时器 555 构成的多谐震荡器产生,当标准时间的精度要求较高时,应通过晶体震荡器分频获得。若震荡器的

8、频率f = l/(t +1 ) = 0.8Hz,其中t = Is,t = 0.25s。由 0 1 2 1 2公式t二0.7(R + R )C和t二0.7R C,可计算出电阻Rl、R2及电容C的值。若1 1 2 2 2取电容C=10uF,则R 二 t /0.7C 二 35.7 kQ22R = (t /0.7C) - R = 107 kQ1 1 2所以取R为36 kQ,R为107 kQ。时基电路图如图3-2所示。12图 3-2 时基电路X :10KC3144.7uFU8:ARX/CXAE;MR1374LS123TEXTC4 U8:BU7:BR410K 1CXRX/CXAQElMRQAR56512g

9、101174LS1234.7uF 74LS00:T3.3 逻辑控制电路在时基信号结束时产生的负跳变用来产生锁存信号,锁存信号的负跳变又用 来产生清“0”信号。脉冲信号可由两个单稳态触发器 74LSl23 产生,它们的脉 冲宽度由电路的时间常数决定。设锁存信号和清“0”信号的脉冲宽度相同,如果要求 tw=0.02s ,则有 tw=0.45Rx/Cx=0.02s,若取 Rx=10kQ,则 Cx=tw/0.45Rx=4.4uf,取标称值 4.7uf, 由74LS123的功能表可得,当,触发脉冲从1A端输入时,在触发脉冲的负跳变 作用下,输出端1Q可获得一正脉冲端,一非Q端可获得一负脉冲,其波形关系正

10、 好满足要求。逻辑控制电路图如图3-3所示。图 3-3 逻辑控制电路逻辑控制电路中用的芯片是74LS123,74LS123是常用的可重触发单稳态触发器。3.4 计数、锁存、译码显示电路的设计这部分电路是频率计内作重要的电路部分,由计数器、锁存器、译码器、显 示器和单稳态触发器组成。其中计数器按十进制计数,由 2 个异步十进制计数器 74ls90 构成,一次从 个位开始计数,向上位发出进位信号进而使高位开始计数。计数输出如果电路中 不接锁存器,则显示器上的显示数字就会随计数器的状态不停地变化,要使计数 器停止计数时,显示器上的数字显示能稳定,就必须在计数器后接入锁存器。锁 存器的工作是受单稳态触

11、发器控制的。门控信号的下降沿使单稳态触发器 1进入 暂稳态,单稳 1 的上升沿作为锁存器的时钟脉冲。为了使计数器稳定、准确的计 数,在门控信号结束后,锁存器将计数结果锁存。单稳 1 的暂态脉冲的下降沿使 单稳2 进入暂态,利用 2 的暂态对计数器清零,清零后的计数器又等待下一个门 控信号到来重新计数。锁存器的作用是将计数器在1S结束时所得的数进行锁存,使显示器稳定地 显示此时计数器的值。1S计数时间结束时,逻辑控制电路发出锁存信号,将此 时计数器的值送至数码显示器。选用锁存器74LS273可以完成上述功能。当时钟 脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D,从而将计数器的输 出值送

12、到锁存器的输出端。正脉冲结束后,无论D为何值,输出端Q的状态仍保 持原来的状态不变。所以在计数期间内,计数器的输出不会送到译码显示器。锁存器在一个有效脉冲到来后将计数器输出信号锁存,并输出到数码管译码 器,4片译码器用74LS48实现。电路图如图3-4所示。m D 1D2 2E 9CM Ara 3E B r rIZLK.EU D2 2E 9CMraE B r r:OJ:.AE- E-C匚 DEIFE-O .F3!l FLr CAE- E-C匚D DEIFE-O .FE-I Fl_r CAE- E-C匚D DEIFE-O .FE-I Fl_r CAE- E-C匚 DEIFE-O .FE-I FL

13、r C图 3-4 计数、锁存、译码电路表 3-6 7490 功能表复位输入输出R1R S S2 2QQQDQHLLLLLXLLLLHHXLHLLHX计XHH数X计LXL数LX计LX数LX计XL数XLLX图 3-5 数字频率计电路图4. Proteus 仿真绘制好电路图后,进行仿真运行,首先调节输入波的频率,如图 4-1 所示。设置为50Hz,点击0K后对电路进行仿真,数码显像管的显示值为50,如图4-2 所示。血 Sine Generator PropertiesGenerator Name:?Offset (Volts):Amplitude Volts):Analogue Types DC+

14、 SinePulsePwlin siari1碍1 clkl1ilk1金yylLlvwIloz qDO111/ blDOloz b2DOIql/ b 3DOIolz b400Id/ b5DOoz b6DOQ/ b7DOoiz bcd300W bud?DOoiz btdl00GiZ budCDO辭 砂qqliZ SEEoz bedDOIT1011 :0K 5! 0(1 X2 )1 5 )1 0 K 1)(2 K 5 X(4频率计仿真复位、重新测频部分)附录四 程序library ieee;use ieee.std_logic_1164.all;-复位信号-系统时钟-被测信号use ieee.std

15、_logic_unsigned.all; entity plj isport ( start:in std_logic; clk :in std_logic; clk1:in std_logic;yy1:out std_logic_vector(7 downto 0);-八段码w1 :out std_logic_vector(3 downto 0);-数码管位选信号end plj;architecture behav of PLj issignal b1,b2,b3,b4,b5,b6,b7:std_logic_vector(3 downto 0); -十进制计数器 signal bcd:std

16、_logic_vector(3 downto 0);-BCD 码寄存器signal q :integer range 0 to 49999999;-秒分频系数signal qq : integer range 0 to 499999;-动态扫描分频系数signal en,bclk:std_logic;-使能信号,有效被测信号signal sss : std_logic_vector(3 downto 0);-小数点signal bcd0,bcd1,bcd2,bcd3 : std_logic_vector(3 downto 0); -寄存 7 位十位计数器中有效的高 4 位数据 beginsec

17、ond:process(clk)beginif start=1 then q=0;elsif clkevent and clk=1 thenif q49999999 then q=q+1;else q=49999999;end if;end if;if q49999999 and start=0 then en=1; else en=0;end if;end process;and2:process(en,clk1)begin-此进程得到 7 位十进制计数器的计数脉冲bclk=clk1 and en; end process;com:process(start,bclk)begin if st

18、art=1 then-复位b1=0000;b2=0000;b3=0000;b4=0000;b5=0000;b6=0000;b7=0000; elsif bclkevent and bclk=1 thenif b1=1001 then b1=0000;-此 IF 语句完成个位十进制计数if b2=1001 then b2=0000;-此 IF 语句完成百位十进制计数if b3=1001 then b3=0000;-此 IF 语句完成千位十进制计数if b4=1001 then b4=0000;-此 IF 语句完成万位十进制计数if b5=1001 THEN b5v=0000;此IF语句完成十万位

19、十进制计数if b6=1001 then b6v=0000;此IF语句完成百万位十进制计数if b7=1001 then b7v=0000;此IF语句完成千万位十进制计数 else b7=b7+1;end if; else b6=b6+1; end if;else b5=b5+1; end if;else b4=b4+1; end if;else b3=b3+1; end if;else b2=b2+1; end if;else b10000 then bcd3=b7; bcd2=b6; bcd1=b5; bcd0=b4; sss0000 then bcd3=b6; bcd2=b5; bcd1

20、=b4; bcd0=b3; sss0000 then bcd3=b5; bcd2=b4; bcd1=b3; bcd0=b2; sss=1011; else bcd3=b4; bcd2=b3; bcd1=b2; bcd0=b1; sss=1111;end if;end if;end if;end process;weixuan:process(clk)-此进程完成数据的动态显示beginif clkevent and clk=1 thenif qq 99999 then qq=qq+1;bcd=bcd3; w1=0111;if sss=0111 then yy1(0)=0;else yy1(0)

21、=1;end if;elsif qq199999 then qq=qq+1;bcd=bcd2; w1=1011; if sss=1011 then yy1(0)=0;else yy1(0)=1;end if;elsif qq299999 then qq=qq+1;bcd=bcd1; w1=1101; if sss=1101 then yy1(0)=0;else yy1(0)=1;end if;elsif qq399999 then qq=qq+1;bcd=bcd0; w1=1110; if sss=1110 then yy1(0)=0;else yy1(0)=1;end if;else qqyy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)yy1(7 downto 1)=1111111;end case;end process;end behav;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!