msi时序逻辑电路的分析步骤

上传人:xiao****017 文档编号:16369832 上传时间:2020-09-29 格式:PPT 页数:154 大小:3.21MB
收藏 版权申诉 举报 下载
msi时序逻辑电路的分析步骤_第1页
第1页 / 共154页
msi时序逻辑电路的分析步骤_第2页
第2页 / 共154页
msi时序逻辑电路的分析步骤_第3页
第3页 / 共154页
资源描述:

《msi时序逻辑电路的分析步骤》由会员分享,可在线阅读,更多相关《msi时序逻辑电路的分析步骤(154页珍藏版)》请在装配图网上搜索。

1、项目4 数字钟的设计与制作,主讲:褚丽丽,内容,项目1:表决器的设计与制作 项目2:抢答器的设计与制作 项目3:防盗报警电路设计与制作 项目4:电子钟表的设计与制作 时序逻辑电路 计数器与寄存器 电子钟表的设计与制作,项目4:电子钟表的设计与制作,学习情境1 时序逻辑电路的分析与设计 任务 时序逻辑电路的分析与设计 学习情境2 计数器与寄存器 任务1 计数器的分析与应用 任务2 寄存器的分析与应用 学习情境3 电子钟表的设计与制作 任务1 电子钟表的设计与调试 任务2 电子钟表的制作,总的学习目标,了解时序逻辑的特点; 掌握时序逻辑电路的描述方法; 了解时序逻辑电路的分析的一定步骤; 掌握计数

2、器的分类; 掌握用中规模集成计数器构成N进制(任意进制)计数器的方法。 了解寄存器、移位寄存器电路的结构、工作原理、性能与特点; 学会电子钟表电路的设计、制作和检测。,问题引入,学习情境1 时序逻辑电路的分析与设计,学习目标: 了解时序逻辑的特点; 掌握时序逻辑电路的描述方法; 了解时序逻辑电路的分析的一定步骤; 任务: 分析时序逻辑电路的输出逻辑功能 难点: 时序逻辑电路的设计,任务 时序逻辑电路的分析与设计,看一看 时序逻辑电路,定义:时序逻辑电路在任何时刻的输出不仅取决于该时刻的输入,而且还取决于电路的原来状态。 电路构成: 存储电路(主要是触发器,必不可少) 组合逻辑电路(可选)。 时

3、序逻辑电路的状态是由存储电路来记忆和表示的。,时序逻辑电路的结构框图,任务1 时序逻辑电路的分析,按各触发器接受时钟信号的不同分类: 同步时序电路:各触发器状态的变化都在同一时钟信号作用下同时发生。 异步时序电路:各触发器状态的变化不是同步发生的,可能有一部分电路有公共的时钟信号,也可能完全没有公共的时钟信号。,任务1 时序逻辑电路的分析,学一学 时序逻辑电路的分析方法,任务1 时序逻辑电路的分析,1)判断触发方式 2)列输出方程 3)列驱动方程 4)列状态方程 5)列状态转换真值表 6)画状态转换图和时序图 7)说明时序电路逻辑功能,图中所有触发器CP都连在一起,共同接受输入时钟脉冲CP,所

4、以是一个同步电路。 分析如下: 1)触发器时钟脉冲输入处有小圆圈,是下降 沿触发。 2)输出方程: 3)驱动方程:,任务1 时序逻辑电路的分析,4)状态方程 5)列出状态转换真值表,任务1 时序逻辑电路的分析,6)画状态转换图和时序图 7)说明时序电路逻辑功能。此电路为具有自启动的同步六进制加法计数器。,状态转换图,时序图,任务1 时序逻辑电路的分析,同步时序逻辑电路的设计与分析正好相反,它是根据给定逻辑功能的要求,设计出能满足要求的同步时序逻辑电路。 设计同步时序逻辑电路的关键是根据设计要求确定状态转换的规律和求出各触发器的驱动方程。 同步时序逻辑电路设计过程,学一学同步逻辑电路的设计,任务

5、1 时序逻辑电路的分析,学习情境1 时序逻辑电路的分析与设计,学习目标: 了解时序逻辑的特点; 掌握时序逻辑电路的描述方法; 了解时序逻辑电路的分析的一定步骤; 任务: 分析时序逻辑电路的输出逻辑功能 难点: 时序逻辑电路的设计,查一查 任务 时序逻辑电路的分析与设计,练一练,课本228页图4-65,拓宽229页图4-71,谢谢欣赏!,项目4:电子钟表的设计与制作,学习情境1 时序逻辑电路的分析与设计 任务 时序逻辑电路的分析与设计 学习情境2 计数器与寄存器 任务1 计数器的分析与应用 任务2 寄存器的分析与应用 学习情境3 电子钟表的设计与制作 任务1 电子钟表的设计与调试 任务2 电子钟

6、表的制作,任务1 计数器的分析与应用,学一学 同步计数器 同步2进制加法计数器 同步2进制减法计数器 集成同步2进制计数器74LS161和74LS163 利用同步置数功能获得N进制计数器 利用同步置0功能获得N进制计数器 同步2进制可逆计数器 同步10进制加法计数器 集成同步10进制加法计数器74LS160和74LS162 集成同步10进制加/减法计数器74LS190,任务1 时序逻辑电路的分析,任务1 计数器的分析与应用,学一学 异步计数器 异步2进制加法计数器 异步2进制减法计数器 异步10进制加法计数器 集成异步计数器74LS290 利用计数器的级联获得大容量N进制计数器,任务1 时序逻

7、辑电路的分析,任务1 计数器的分析与应用,学习目标: 了解计数器的特点 掌握各种类型计数器功能的分析和描述方法 了解各类计数器的分析步骤 任务: 计数器的分析与应用 难点: 计数器的应用,内容重组,学一学 二进制计数器 异步二进制计数器(加法、减法) 同步二进制计数器(加法、减法、可逆) 学一学 任意进制计数器 异步计数器(加法、减法) 同步计数器(加法、减法、可逆) 学一学 中规模集成计数器及其应用 异步2510进制计数器74LS290 同步四位二进制计数器74LS161 计数器的应用实例计数器的应用实例,一、异步二进制计数器,二、 同步二进制计数器,学一学 二进制计数器,学一学 二进制计数

8、器,学习目标: 了解异步二进制计数器的特点 了解同步二进制计数器的特点 掌握二进制计数器功能的分析和描述方法 了解二进制计数器的分析步骤 任务: 二进制计数器的分析与应用 难点: 计数器的应用,计数器:用以统计输入时钟脉冲CP个数的电路。 计数器的分类:,二进制计数器,1按计数进制分 二进制计数器:按二进制数运算规律进行计数的电路称作二进制计数器。 十进制计数器:按十进制数运算规律进行计数的电路称作十进制计数器。 任意进制计数器:二进制计数器和十进制计数器之外的其它进制计数器统称为任意进制计数器。,二进制计数器是结构最简单的计数器,但应用很广。,2按数字的变化规律 加法计数器:随着计数脉冲的输

9、入作递增计数的电路称作加法计数器。 减法计数器:随着计数脉冲的输入作递减计数的电路称作减法计数器。 加/减计数器:在加/减控制信号作用下,可递增计数,也可递减计数的电路,称作加/减计数器,又称可逆计数器。 也有特殊情况,不作加/减,其状态可在外触发控制下循环进行特殊跳转,状态转换图中构成封闭的计数环。,3按计数器中触发器翻转是否同步分 异步计数器:计数脉冲只加到部分触发器的时钟脉冲输入端上,而其它触发器的触发信号则由电路内部提供,应翻转的触发器状态更新有先有后的计数器,称作异步计数器。 同步计数器:计数脉冲同时加到所有触发器的时钟信号输入端,使应翻转的触发器同时翻转的计数器,称作同步计数器。,

10、异步计数器的计数脉冲没有加到所有触发器的CP端。 当计数脉冲到来时,各触发器的翻转时刻不同。分析时,要特别注意各触发器翻转所对应的有效时钟条件。 异步二进制计数器是计数器中最基本最简单的电路,它一般由接成计数型的触发器连接而成,计数脉冲加到最低位触发器的CP端,低位触发器的输出Q作为相邻高位触发器的时钟脉冲。,一、 异步二进制计数器,1异步二进制加法计数器,必须满足二进制加法原则:逢二进一(1+1=10,即Q由10时有进位。) 组成二进制加法计数器时,各触发器应当满足: 每输入一个计数脉冲,触发器应当翻转一次(即用T触发器); 当低位触发器由1变为0时,应输出一个进位信号加到相邻高位触发器的计

11、数输入端。,3位异步二进制加法计数器,(1)JK触发器构成的3位异步二进制加法计数器(用CP脉冲下降沿触发) 电路组成, 工作原理, 计数器的状态转换表,3位二进制加法计数器状态转换表, 时序图,3位二进制加法计数器的时序图, 状态转换图,3位二进制加法计数器的状态转换图,圆圈内表示Q2Q1Q0的状态,用箭头表示状态转换的方向, 结论,如果计数器从000状态开始计数,在第八个计数脉冲输入后,计数器又重新回到000状态,完成了一次计数循环。所以该计数器是八进制加法计数器或称为模8加法计数器。,如果计数脉冲CP的频率为f0,那么Q0输出波形的频率为1/2f0,Q1输出波形的频率为1/4 f0,Q2

12、输出波形的频率为1/8 f0。这说明计数器除具有计数功能外,还具有分频的功能。,由D触发器构成的3位异步二进制加法计数器 (a) 电路图 (b)时序图,(2)由D触发器构成的3位异步二进制加法计数器(用CP脉冲上升沿触发),2异步二进制减法计数器,必须满足二进制数的减法运算规则:0-1不够减,应向相邻高位借位,即10-11。 组成二进制减法计数器时,各触发器应当满足: 每输入一个计数脉冲,触发器应当翻转一次(即用T触发器); 当低位触发器由0变为1时,应输出一个借位信号加到相邻高位触发器的计数输入端。,3位异步二进制减法计数器 (a)逻辑图 ( b)时序图,(1)JK触发器组成的3位异步二进制

13、减法计数器 (用CP脉冲下降沿触发)。,3位二进制减法计数器状态表,3位异步二进制减法计数器的状态转换图,圆圈内表示Q2Q1Q0的状态,用箭头表示状态转换的方向,由D触发器构成的3位异步二进制减法计数器,(2)D触发器构成的3位异步二进制减法计数器 (用CP脉冲上升沿触发)。,异步二进制计数器的构成方法可以归纳为:, N位异步二进制计数器由N个计数型(T)触发器组成。,若采用下降沿触发的触发器 加法计数器的进位信号从Q端引出 减法计数器的借位信号从Q端引出,若采用上升沿触发的触发器 加法计数器的进位信号从Q端引出 减法计数器的借位信号从Q端引出,N位二进制计数器可以计2N个数,所以又可称为2N

14、进制计数器。,异步二进制计数器的优点:电路较为简单。,缺点:进位(或借位)信号是逐级传送的,工作频率不能太高;,状态逐级翻转,存在中间过渡状态 。,状态从111000的过程?,二、同步二进制计数器,同步计数器中,各触发器的翻转与时钟脉冲同步。 同步计数器的工作速度较快,工作频率也较高。,1同步二进制加法计数器,(1)设计思想: 所有触发器的时钟控制端均由计数脉冲CP输入,CP的每一个触发沿都会使所有的触发器状态更新。 应控制触发器的输入端,可将触发器接成T触发器。 当低位不向高位进位时,令高位触发器的T0,触发器状态保持不变; 当低位向高位进位时,令高位触发器的T=1,触发器翻转,计数加1。,

15、(2)当低位全1时再加1,则低位向高位进位。 1110 111100 11111000 1111110000 可得到T的表达式为:,T0=J0=K0=1 T1=J1=K1= Q0 T2=J2=K2= Q1Q0 T3=J3=K3= Q2Q1Q0,4位二进制加法计数器的状态转换表,4位同步二进制加法计数器的时序图,4位同步二进制加法计数器,T0=J0=K0=1 T1=J1=K1= Q0 T2=J2=K2= Q1Q0 T3=J3=K3= Q2Q1Q0,2同步二进制减法计数器,(1)设计思想: 所有触发器的时钟控制端均由计数脉冲CP输入,CP的每一个触发沿都会使所有的触发器状态更新。 应控制触发器的输

16、入端,可将触发器接成T触发器。 当低位不向高位借位时,令高位触发器的T0,触发器状态保持不变; 当低位向高位借位时,令高位触发器的T=1,触发器翻转,计数减1。,(2)触发器的翻转条件是:当低位触发器的Q端全1时再减1,则低位向高位借位。,1011 100111 10001111 1000011111 可得到T的表达式为:,4位二进制减法计数器的状态转换表,3同步二进制可逆计数器,将加法和减法计数器综合起来,由控制门进行转换,可得到可逆计数器。,4位同步二进制可逆计数器,S为加减控制端 S=1时,加法计数 S=0时,减法计数,想一想 二进制计数器,学习目标: 了解异步二进制计数器的特点 了解同

17、步二进制计数器的特点 掌握二进制计数器功能的分析和描述方法 了解二进制计数器的分析步骤 任务: 二进制计数器的分析与应用 难点: 计数器的应用,谢谢欣赏!,内容重组,学一学 二进制计数器 异步二进制计数器(加法、减法) 同步二进制计数器(加法、减法、可逆) 学一学 任意进制计数器 异步计数器(加法、减法) 同步计数器(加法、减法、可逆) 学一学 中规模集成计数器及其应用 异步2510进制计数器74LS290 同步四位二进制计数器74LS161 计数器的应用实例计数器的应用实例,一、 异步计数器,二、 同步计数器,学一学 任意进制计数器,任意进制计数器,学习目标: 了解任意进制计数器的特点 了解

18、任意进制计数器的特点 掌握任意进制计数器构成与设计 了解任意进制计数器的分析步骤 任务: 任意进制计数器的分析与应用 难点: 计数器的应用,任意进制计数器是指计数器的模N不等于2n的计数器。,任意进制计数器,在异步二进制计数器的基础上,通过脉冲反馈或阻塞反馈来实现。,一、 异步计数器,1脉冲反馈式 (以10进制计数器为例), 设计思想:通过反馈线和门电路来控制二进制计数器中各触发器的RD端,以消去多余状态(无效状态)构成任意进制计数器。, 实现10进制计数器的工作原理:,4位二进制加法计数器从0000到1001计数。,当第十个计数脉冲CP到来后,计数器变为1010状态瞬间,要求计数器返回到00

19、00。,显然,1010状态存在的时间极短(通常只有10ns左右),可以认为实际出现的计数状态只有00001001,所以该电路实现了十进制计数功能。,当计数器变为0000状态后,RD又迅速由0变为1状态,清零信号消失,可以重新开始计数。,可令RD= Q1Q3,当1010状态时Q1、Q3同时为1,RD=0,使各触发器置0。, 状态转换表,十进制加法计数器状态转换表,短暂过渡状态1010, 状态转换图,十进制加法计数器状态转换图,10个稳定状态,短暂过渡状态1010,逻辑电路图,异步十进制加法计数器,取状态1010,异步置0, 时序图,异步十进制加法计数器时序图,0000,0000,十进制,2阻塞反

20、馈式 (以10进制计数器为例), 设计思想:通过反馈线和门电路来控制二进制计数器中某些触发器的输入端,以消去多余状态(无效状态)来构成任意进制计数器。, 逻辑电路图,阻塞反馈式异步十进制加法计数器,CP3= Q1,进位信号C= Q3Q0,J3= Q2Q1,J1= Q3, 实现10进制计数器的计数原理:,由于J1= Q3=1,计数器从0000状态到0111状态的计数,其过程与二进制加法计数器完全相同当计数器为0111状态时,由于J1=1、J3= Q2Q1=1,若第八个CP计数脉冲到来,使Q0、Q1、Q2均由1变为0,Q3由0变为1,计数器的状态变为1000;,第九个CP计数脉冲到来后,计数器的状

21、态变为1001,同时进位端C= Q0Q3=1;,第十个CP计数脉冲到来后,因为此时J1= Q3=0,从Q 0送出的负脉冲(Q 0由1变为0时)不能使触发器F1翻转;但是,由于J3= Q2Q1=0、K3=1,Q0能直接触发F3,使Q3由1变为0,计数器的状态变为0000,从而使计数器跳过10101111六个状态直接复位到0000状态。此时,进位端C由1变为0,向高位计数器发出进位信号。,可见,该电路实现了十进制加法计数器的功能。, 状态转换表,十进制加法计数器状态转换表,进位信号C= Q3Q0,J3= Q2Q1,CP3= Q1,二、同步计数器,分析步骤: (1)写驱动方程和输出方程。 (2)将驱

22、动方程代入触发器的特性方程,求出电路的状态方程(Qn+1表达式)。 (3)画出相应的Qn+1卡诺图,然后画计数器的状态卡诺图。 (4)列计数器的状态转换表,并画状态转换图和时序图。 (5)说明计数器的逻辑功能。,下面介绍同步计数器的分析方法。 计数器的分析:根据给定的逻辑电路图,分析计数器状态和它的输出在输入信号和时钟信号作用下的变化规律。, 状态转换图,异步十进制加法计数器状态转换图,10个有效状态构成计数环,能自启动,说明:,六种无效状态,六种无效状态,自启动是指若计数器由于某种原因进入无效状态后,在连续时钟脉冲作用下,能自动从无效状态进入到有效计数状态。,同步计数器电路,例1:试分析下图

23、所示计数器的逻辑功能。,解 : (1)根据给定的逻辑图写出驱动方程和输出方程 J=? K=? (驱动方程) Y=? (输出方程),解 : (1)根据给定的逻辑图写出驱动方程和输出方程,(2)将驱动方程代入JK触发器的特性方程,可以得到各触发器的状态方程,(3)填Qn+1卡诺图及计数器的状态卡诺图,计数器的状态卡诺图 (a)Q2n+1卡诺图 (b)Q1n+1卡诺图 (c)Q0n+1卡诺图 (d)计数器的状态卡诺图,(4)列出状态转换表,例1电路的状态转换表,画状态转换图,例1 电路的状态转换图,能自启动,7个有效状态构成计数环,画时序图(即工作波形图),例1电路的时序图,(5)说明计数器的逻辑功

24、能,是一个同步七进制加法计数器, Y为进位脉冲, 能够自启动。,想一想 任意进制计数器,学习目标: 了解任意进制计数器的特点 了解任意进制计数器的特点 掌握任意进制计数器构成与设计 了解任意进制计数器的分析步骤 任务: 任意进制计数器的分析与应用 难点: 计数器的应用,谢谢欣赏!,内容重组,学一学 二进制计数器 异步二进制计数器(加法、减法) 同步二进制计数器(加法、减法、可逆) 学一学 任意进制计数器 异步计数器(加法、减法) 同步计数器(加法、减法、可逆) 学一学 中规模集成计数器及其应用 异步2510进制计数器74LS290 同步四位二进制计数器74LS161 计数器的应用实例计数器的应

25、用实例,学一学 中规模集成计数器及其应用,学习目标: 掌握用中规模集成计数器构成N进制(任意进制)计数器的方法。 74LS290 74LS161 任务: 用中规模集成计数器构成N进制(任意进制)计数器 难点: 集成计数器的应用,1. 74LS290的外引脚图、逻辑符号及逻辑功能,中规模集成计数器及其应用,2.基本工作方式,3. 应用举例,一、 异步2510进制计数器74LS290,表5-11 部分常用集成计数器,一、 异步2510进制计数器74LS290,返回,1. 74LS290的外引脚图、逻辑符号及逻辑功能,74LS290 2510进制计数器 (a) 外引脚图 (b) 逻辑符号,输出,CP

26、输入,异步置数,74LS290功能表,CP1-Q3Q2Q1 5进制,CP0-Q0 2进制,CP下降沿有效,2基本工作方式,(1)二进制计数,(2)五进制计数,(3)8421码十进制计数,(4)5421码十进制计数,2基本工作方式,(1)二进制计数:将计数脉冲由CP0输入,由Q0输出,二进制计数器,返回,2基本工作方式,(2)五进制计数:将计数脉冲由CP1输入,由Q3 、Q2、 Q1 输出,五进制计数器,2基本工作方式,(3) 8421BCD码十进制计数:将Q0与CP1相连,计数脉冲CP由CP0输入,8421BCD码十进制计数器,二进制,五进制,2基本工作方式,(4) 5421BCD码十进制计数

27、:把CP0和Q3相连,计数脉冲由CP1输入,5421BCD码十进制计数器,五进制,二进制,附:用级联(相当于串行进位)法实现N进制计数器的方法(异步)。,讨论:级联法实现更大容量计数器时,计数器的顺序如何?,这样构成的N进制计数器的计数状态将保留M1进制计数器的特点。,返回,用S0,S1,S2,SN表示输入0,1,2,N个计数脉冲CP时计数器的状态。 N进制计数器的计数工作状态应为N个:S0,S1,S2,SN-1 在输入第N个计数脉冲CP后,通过控制电路,利用状态SN产生一个有效置0信号,送给异步置0端,使计数器立刻置0,即实现了N进制计数。,(1)利用脉冲反馈法获得N进制计数器,3应用举例,

28、课堂讨论:异步置0时状态SN出现的时间有多久?,时间极短(通常只有10ns左右), 构成七进制计数器,七进制计数器,先构成8421BCD码的10进制计数器; 再用脉冲反馈法,令R0BQ2Q1Q0实现。 当计数器出现0111状态时,计数器迅速复位到0000状态,然后又开始从0000状态计数,从而实现00000110七进制计数。, 构成六进制计数器,六进制计数器,先构成8421BCD码的10进制计数器; 再用脉冲反馈法,令R0AQ2、R0BQ1。 当计数器出现0110状态时,计数器迅速复位到0000状态,然后又开始从0000状态计数,从而实现00000101六进制计数。,计数器的级联是将多个集成计

29、数器(如M1进制、M2进制)串接起来,以获得计数容量更大的N(=M1M2)进制计数器。 一般集成计数器都设有级联用的输入端和输出端。 异步计数器实现的方法:低位的进位信号高位的CP端,先用级联法,再用脉冲反馈法,(2)构成大容量计数器,例:利用两片74LS290构成23进制加法计数器。,74LS290构成二十三进制计数器,先将两片接成8421BCD码十进制的CT74LS290级联组成1010=100进制异步加法计数器。,0010,0011,再将状态“0010 0011”通过反馈与门输出至异步置0端,从而实现23进制计数器。,10进制计数器的进位信号?,1001 0000时Q3有下降沿,想一想

30、中规模集成计数器及其应用,学习目标: 掌握用中规模集成计数器构成N进制(任意进制)计数器的方法。 74LS290 74LS161 任务: 用中规模集成计数器构成N进制(任意进制)计数器 难点: 集成计数器的应用,谢谢欣赏!,1. 74LS161的逻辑功能,学一学 中规模集成计数器及其应用,2.应用举例,二、 同步四位二进制计数器74LS161,学一学 中规模集成计数器及其应用,学习目标: 掌握用中规模集成计数器构成N进制(任意进制)计数器的方法。 74LS290 74LS161 任务: 用中规模集成计数器构成N进制(任意进制)计数器 难点: 集成计数器的应用,二、 同步四位二进制计数器74LS

31、161,1. 74LS161的逻辑功能,74LS161的外引线图,状态输出,74LS161的逻辑符号,并行输入,CP输入,74LS161的功能表,CP上升沿有效,异步清0功能最优先,同步并行置数,CO= Q3 Q2 Q1 Q0 CTT,74LS161的时序图,返回,(1)同步二进制加法计数,2应用举例,实现四位二进制加法计数,(2)构成16以内的任意进制加法计数器:, 设计思想:利用脉冲反馈法 用S0,S1,S2,SMSN表示输入0,1,2,N个计数脉冲CP时计数器的状态。 SM可以为S0,但需小于SN。,对于异步置数:在输入第N个计数脉冲CP后,通过控制电路,利用状态SN产生一个有效置数信号

32、,送给异步置数端,使计数器立刻返回到初始的预置数状态SM,即实现了SMSN-1计数。,对于同步置数:在输入第N1个计数脉冲CP时,利用状态SN-1产生一个有效置数信号,送给同步置数控制端,等到输入第N个计数脉冲CP时,计数器返回到初始的预置数状态SM,从而实现SMSN-1计数。, 分析74LS161的置数功能:, 反馈信号的拾取,可利用与非门拾取状态SN或SN1 可利用进位输出CO拾取状态1111,十进制计数器的计数状态顺序表, 电路举例(以十进制计数器为例),74LS161构成十进制计数器,改变D3 D2 D1 D0的状态,可以实现其它进制计数。,令D3 D2 D1 D00110,利用进位输

33、出CO取状态1111,实现十进制计数 (0110到1111),用74LS161构成从0开始计数的十进制计数器,改变与非门的输入信号, 可以实现其它进制计数。,令D3 D2 D1 D00000,利用与非门拾取状态1001,可实现从0开始计数的十进制计数(0000到1001),用74LS161构成从0开始计数的十进制计数器,改变与非门的输入信号 ,可以实现其它进制计数。,利用与非门拾取状态1010,实现十进制计数 (0000到1001),(3)利用多片74LS161实现大容量计数, 先用级联法 计数器的级联是将多个集成计数器(如M1进制、M2进制)串接起来,以获得计数容量更大的N(=M1M2)进制

34、计数器。 一般集成计数器都设有级联用的输入端和输出端。,同步计数器实现的方法: 低位的进位信号高位的保持功能控制端(相当于触发器的T端),有进位时,高位计数功能T 1; 无进位时,高位保持功能T 0。,用两片CT74LS161级联成1616进制同步加法计数器,低位片,高位片,在计到1111以前,CO10,高位片保持原状态不变,在计到1111时,CO11,高位片在下一个CP加一, 再用脉冲反馈法,例:用两片74LS161级联成五十进制计数器,0010,0011,实现从0000 0000到0011 0001的50进制计数器,十进制数50对应的二进制数为0011 0010,想一想 中规模集成计数器及

35、其应用,学习目标: 掌握用中规模集成计数器构成N进制(任意进制)计数器的方法。 74LS290 74LS161 任务: 用中规模集成计数器构成N进制(任意进制)计数器 难点: 集成计数器的应用,谢谢欣赏!,1. 构成分频器,学一学 中规模集成计数器及其应用,2.组成数字钟计数显示电路,三、 计数器的应用实例,三、 计数器的应用实例,返回,1. 构成分频器,分频器可用来降低信号的频率,是数字系统中常用的电路。 分频器的输入信号频率fI与输出信号频率fO之比称为分频比N。N进制计数器可实现N分频器。 程序分频器是指分频比N随输入置数的变化而改变的分频器。用集成计数器实现的程序分频器,在通信、雷达和

36、自动控制系统中被广泛应用。具有并行置数功能的计数器都可以构成程序分频器。,程序分频器(分频比N为1256 ),CC4516为可逆4位二进制计数器,接成减法计数器(U/D0),当高、低位计数器均减为0时,0 0,1,分频器的输出信号fO,改变预置数的值,可以改变分频比。,当前置数值S7S6S5S4S3S2S1S0为10000011,则该程序分频器的分频比N=?,13281631,2组成数字钟计数显示电路,通常数字钟需要一个精确的时钟信号,一般采用石英晶体振荡器产生,经分频后得到周期为1秒的脉冲信号CP。,数字钟“秒”计数、译码、显示电路,个位十进制十位六进制六十进制加法计数器,进位信号,BCD-

37、七段显示译码器7448,输出为高电平有效 。,选共阴型数码管BS201。,想一想 中规模集成计数器及其应用,学习目标: 掌握用中规模集成计数器构成N进制(任意进制)计数器的方法。 74LS290 74LS161 任务: 用中规模集成计数器构成N进制(任意进制)计数器 难点: 集成计数器的应用,谢谢欣赏!,学一学 中规模时序逻辑电路的分析,一、 MSI时序逻辑电路的分析步骤,二、 分析举例,返回,一、 MSI时序逻辑电路的分析步骤,可以采用与分析MSI组合逻辑电路类似的划分功能块方法。 划分的功能块既有组合逻辑电路功能块,又有时序逻辑电路功能块。 如有必要,在对整个电路进行整体功能分析时,可以画

38、出电路的工作波形。,分析MSI时序逻辑电路的流程图,(1)将电路按功能划分成3个功能块,二、 分析举例,例2 分析图5-43所示电路的逻辑功能。设输出逻辑变量R、Y、G分别为红、黄和绿灯的控制信号,时钟脉冲CP的周期为10 S。,计数器,译码器,门电路,(2)分析各功能块电路的逻辑功能,8进制计数器,反码输出的数据分配电路,输出译码电路,返回,例2电路的工作波形,(3)分析总体逻辑功能,画出电路的工作波形。,在CP作用下,计数器循环计数,输出信号R持续30S,Y持续10S,G持续30 S,Y持续10S,周而复始。总体电路逻辑功能为交通灯控制电路。,该电路只是原理性的,与实用的电路有较大差距。实

39、际的交通灯,黄灯(Y)通常只亮12秒,而红灯(R)和绿灯(G)通常要亮60秒左右,故其控制电路要复杂一些。读者可自行设计实际的交通灯控制电路。,想一想 中规模集成计数器及其应用,学习目标: 掌握用中规模集成计数器构成N进制(任意进制)计数器的方法。 74LS290 74LS161 任务: 用中规模集成计数器构成N进制(任意进制)计数器 难点: 集成计数器的应用,谢谢欣赏!,项目4:电子钟表的设计与制作,学习情境1 时序逻辑电路的分析与设计 任务 时序逻辑电路的分析与设计 学习情境2 计数器与寄存器 任务1 计数器的分析与应用 任务2 寄存器的分析与应用 学习情境3 电子钟表的设计与制作 任务1

40、 电子钟表的设计与调试 任务2 电子钟表的制作,任务2 寄存器的分析与应用,学习目标: 了解寄存器的特点 掌握各种类型寄存器功能的分析和描述方法 了解各类寄存器的分析步骤 任务: 寄存器的分析与应用 难点: 寄存器的应用,时序逻辑电路,三、 寄存器的应用实例,一、 数码寄存器,二、 移位寄存器,寄存器,1. 寄存器通常分为两大类:,寄存器,数码寄存器:存储二进制数码、运算结果或指令等信息的电路。 移位寄存器:不但可存放数码,而且在移位脉冲作用下,寄存器中的数码可根据需要向左或向右移位。,2.组成:触发器和门电路。,一个触发器能存放一位二进制数码; N个触发器可以存放N位二进制数码。,3.寄存器

41、应用举例:,(1) 运算中存贮数码、运算结果。 (2) 计算机的CPU由运算器、控制器、译码器、寄存器组成,其中就有数据寄存器、指令寄存器、一般寄存器。,4. 寄存器与存储器有何区别?,寄存器内存放的数码经常变更,要求存取速度快,一般无法存放大量数据。(类似于宾馆的贵重物品寄存、超级市场的存包处。) 存储器存放大量的数据,因此最重要的要求是存储容量。(类似于仓库),数码寄存器具有接收、存放、输出和清除数码的功能。 在接收指令(在计算机中称为写指令)控制下,将数据送入寄存器存放;需要时可在输出指令(读出指令)控制下,将数据由寄存器输出。,一、 数码寄存器,单拍工作方式的数码寄存器,1由D触发器构

42、成的数码寄存器 (1)电路组成,CP:接收脉冲(控制信号输入端),输出端,数码输入端,(2)工作原理,当CP时,触发器更新状态, Q3Q2Q1Q0=D3D2D1D0,即接收输入数码并保存。,单拍工作方式:不需清除原有数据,只要CP一到达,新的数据就会存入。,常用4D型触发器74LS175、6D型触发器74LS174、8D型触发器74LS374或MSI器件等实现。,2由D型锁存器构成的数码寄存器 (1)锁存器的工作原理,锁存器,送数脉冲CP为锁存控制信号输入端,即使能信号(电平信号)。,工作过程: 当CP=0时,Q =D,电路接收输入数据; 即当使能信号到来(不锁存数据)时,输出端的信号随输入信

43、号变化;,当CP=1时,D数据输入不影响电路的状态,电路锁定原来的数据。 即当使能信号结束后(锁存),数据被锁住,输出状态保持不变。,(2)集成数码锁存器74LS373,8D型锁存器74LS373 (a) 外引脚图 (b) 逻辑符号,8D型锁存器74LS373功能表,二、移位寄存器,移位寄存器除了具有存储数码的功能外,还具有移位功能。 移位功能:寄存器中所存数据,可以在移位脉冲作用下逐位左移或右移。 在数字电路系统中,由于运算(如二进制的乘除法)的需要,常常要求实现移位功能。,4位右移位寄存器,1单向移位寄存器 单向移位寄存器,是指仅具有左移功能或右移功能的移位寄存器。 (1)右移位寄存器 电

44、路组成,串行输入,同步时序逻辑电路, 工作过程,将数码1101右移串行输入给寄存器(串行输入是指逐位依次输入)。 在接收数码前,从输入端输入一个负脉冲把各触发器置为0状态(称为清零)。, 状态表,表5-2 4位右移位寄存器状态表, 时序图,4位右移位寄存器时序图,并行输出,串行输出,4位左移位寄存器,(2)左移位寄存器,串行输入,异步清零, 工作过程,将数码1011左移串行输入给寄存器。在接收数码前清零。, 状态表,4位左移位寄存器状态表, 时序图。,4位左移位寄存器时序图,并行输出,串行输出,2集成双向移位寄存器,在单向移位寄存器的基础上,增加由门电路组成的控制电路实现 。 74LS194为

45、四位双向移位寄存器。与74LS194的逻辑功能和外引脚排列都兼容的芯片有CC40194、CC4022和74198等。,双向移位寄存器74LS194 (a)外引脚图 (b)逻辑符号,74LS194功能表,结论:清零功能最优先(异步方式)。 计数、移位、并行输入都需CP的到来(同步方式),工作方式控制端M1M0区分四种功能。,三 寄存器的应用实例,数据显示锁存器; 序列脉冲信号发生器; 数码的串并与并串转换; 构成计数器,2位数据显示锁存器,1数据显示锁存器,在许多设备中常需要显示计数器的计数值,计数值通常以8421BCD码计数,并以七段数码显示器显示。 问题:如果计数器的计数速度高,人眼则无法辨

46、认显示的字符。 措施:在计数器和译码器之间加入锁存器,就可控制数据显示的时间。,若锁存信号C1时,计数器的输出数据可通过锁存器到达译码显示电路;,若锁存信号C0时,数据被锁存,译码显示电路稳定显示锁存的数据。,2序列脉冲信号发生器,序列脉冲信号是在同步脉冲的作用下,按一定周期循环产生的一组二进制信号。 如111011101110,每隔4位重复一次1110,称为4位序列脉冲信号。 序列脉冲信号广泛用于数字设备测试、通信和遥控中的识别信号或基准信号等。,8位序列脉冲信号产生电路,M1M0=01,为右移方式, Q3经非门接DSR, 同时Q3作为OUT。,首先令CR0,输出端全为零,则DSR为1;,C

47、P,DSR数据右移,Q3的输出依次为0000111100001111。,电路产生的8位序列脉冲信号为00001111。,8位序列脉冲信号发生器输出波形,3. 顺序脉冲发生器,(1) 顺序正脉冲,(2)顺序负脉冲,想一想 寄存器的分析与应用,学习目标: 了解寄存器的特点 掌握各种类型寄存器功能的分析和描述方法 了解各类寄存器的分析步骤 任务: 寄存器的分析与应用 难点: 寄存器的应用,谢谢欣赏!,本章小结,时序电路任何时刻的输出不仅与当时的输入信号有关,而且还和电路原来的状态有关。从电路的组成上来看,时序逻辑电路一定含有存储电路(触发器)。 时序逻辑电路的功能可以用状态方程、状态转换表、状态转换

48、图或时序图来描述。 数码寄存器是用触发器的两个稳定状态来存储0、1数据,一般具有清0、存数、输出等功能。 移位寄存器除具有数码寄存器的功能外,还有移位功能。由于移位寄存器中的触发器一定不能存在空翻现象,所以只能用主从结构的或边沿触发的触发器组成。移位寄存器还可实现数据的串行-并行转换、数据处理等。,对各种集成寄存器和计数器,应重点掌握它们的逻辑功能,对于内部电路的分析,则放在次要位置。现在已生产出的集成时序逻辑电路品种很多,可实现的逻辑功能也较强,应在熟悉其功能的基础上加以充分利用。,计数器是一种非常典型、应用很广的时序电路,不仅能统计输入时钟脉冲的个数,还能用于分频、定时、产生节拍脉冲等。计数器的类型很多,按计数器时钟脉冲引入方式和触发器翻转时序的异同,可分为同步计数器和异步计数器;按计数体制的异同,可分为二进制计数器、二十进制计数器和任意进制计数器;按计数器中数字的变化规律的异同,可分为加法计数器、减法计数器和可逆计数器。,谢谢欣赏!,

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!