ADC0808中文资料

上传人:无*** 文档编号:160664616 上传时间:2022-10-11 格式:DOC 页数:28 大小:295KB
收藏 版权申诉 举报 下载
ADC0808中文资料_第1页
第1页 / 共28页
ADC0808中文资料_第2页
第2页 / 共28页
ADC0808中文资料_第3页
第3页 / 共28页
资源描述:

《ADC0808中文资料》由会员分享,可在线阅读,更多相关《ADC0808中文资料(28页珍藏版)》请在装配图网上搜索。

1、11.2.4 典型的集成ADC芯片为了满足多种需要,目前国内外各半导体器件生产厂家设计并生产出了多种多样的ADC芯片。仅美国AD公司的ADC产品就有几十个系列、近百种型号之多。从性能上讲,它们有的精度高、速度快,有的则价格低廉。从功能上讲,有的不仅具有A/D转换的基本功能,还包括内部放大器和三态输出锁存器;有的甚至还包括多路开关、采样保持器等,已发展为一个单片的小型数据采集系统。尽管ADC芯片的品种、型号很多,其内部功能强弱、转换速度快慢、转换精度高低有很大差别,但从用户最关心的外特性看,无论哪种芯片,都必不可少地要包括以下四种基本信号引脚端:模拟信号输入端(单极性或双极性);数字量输出端(并

2、行或串行);转换启动信号输入端;转换结束信号输出端。除此之外,各种不同型号的芯片可能还会有一些其他各不相同的控制信号端。选用ADC芯片时,除了必须考虑各种技术要求外,通常还需了解芯片以下两方面的特性。(1)数字输出的方式是否有可控三态输出。有可控三态输出的ADC芯片允许输出线与微机系统的数据总线直接相连,并在转换结束后利用读数信号选通三态门,将转换结果送上总线。没有可控三态输出(包括内部根本没有输出三态门和虽有三态门、但外部不可控两种情况)的ADC芯片则不允许数据输出线与系统的数据总线直接相连,而必须通过I/O接口与MPU交换信息。(2)启动转换的控制方式是脉冲控制式还是电平控制式。对脉冲启动

3、转换的ADC芯片,只要在其启动转换引脚上施加一个宽度符合芯片要求的脉冲信号,就能启动转换并自动完成。一般能和MPU配套使用的芯片,MPU的I/O写脉冲都能满足ADC芯片对启动脉冲的要求。对电平启动转换的ADC芯片,在转换过程中启动信号必须保持规定的电平不变,否则,如中途撤消规定的电平,就会停止转换而可能得到错误的结果。为此,必须用D触发器或可编程并行I/O接口芯片的某一位来锁存这个电平,或用单稳等电路来对启动信号进行定时变换。具有上述两种数字输出方式和两种启动转换控制方式的ADC芯片都不少,在实际使用芯片时要特别注意看清芯片说明。下面介绍两种常用芯片的性能和使用方法。1. ADC 0808/0

4、809ADC 0808和ADC 0809除精度略有差别外(前者精度为8位、后者精度为7位),其余各方面完全相同。它们都是CMOS器件,不仅包括一个8位的逐次逼近型的ADC部分,而且还提供一个8通道的模拟多路开关和通道寻址逻辑,因而有理由把它作为简单的“数据采集系统”。利用它可直接输入8个单端的模拟信号分时进行A/D转换,在多点巡回检测和过程控制、运动控制中应用十分广泛。1) 主要技术指标和特性(1)分辨率: 8位。(2)总的不可调误差: ADC0808为LSB,ADC 0809为1LSB。(3)转换时间: 取决于芯片时钟频率,如CLK=500kHz时,TCONV=128s。(4)单一电源: +

5、5V。(5)模拟输入电压范围: 单极性05V;双极性5V,10V(需外加一定电路)。(6)具有可控三态输出缓存器。(7)启动转换控制为脉冲式(正脉冲),上升沿使所有内部寄存器清零,下降沿使A/D转换开始。(8)使用时不需进行零点和满刻度调节。2) 内部结构和外部引脚ADC0808/0809的内部结构和外部引脚分别如图11.19和图11.20所示。内部各部分的作用和工作原理在内部结构图中已一目了然,在此就不再赘述,下面仅对各引脚定义分述如下: 图11.19 ADC0808/0809内部结构框图(1)IN0IN78路模拟输入,通过3根地址译码线ADDA、ADDB、ADDC来选通一路。(2)D7D0

6、A/D转换后的数据输出端,为三态可控输出,故可直接和微处理器数据线连接。8位排列顺序是D7为最高位,D0为最低位。(3)ADDA、ADDB、ADDC模拟通道选择地址信号,ADDA为低位,ADDC为高位。地址信号与选中通道对应关系如表11.3所示。(4)VR(+)、VR(-)正、负参考电压输入端,用于提供片内DAC电阻网络的基准电压。在单极性输入时,VR(+)=5V,VR(-)=0V;双极性输入时,VR(+)、VR(-)分别接正、负极性的参考电压。图11.20 ADC0808/0809外部引脚图表11.3 地址信号与选中通道的关系地 址选中通道ADDCADDBADDA00001111001100

7、1101010101IN0IN1IN2IN3IN4IN5IN6IN7(5)ALE地址锁存允许信号,高电平有效。当此信号有效时,A、B、C三位地址信号被锁存,译码选通对应模拟通道。在使用时,该信号常和START信号连在一起,以便同时锁存通道地址和启动A/D转换。(6)STARTA/D转换启动信号,正脉冲有效。加于该端的脉冲的上升沿使逐次逼近寄存器清零,下降沿开始A/D转换。如正在进行转换时又接到新的启动脉冲,则原来的转换进程被中止,重新从头开始转换。(7)EOC转换结束信号,高电平有效。该信号在A/D转换过程中为低电平,其余时间为高电平。该信号可作为被CPU查询的状态信号,也可作为对CPU的中断

8、请求信号。在需要对某个模拟量不断采样、转换的情况下,EOC也可作为启动信号反馈接到START端,但在刚加电时需由外电路第一次启动。(8)OE输出允许信号,高电平有效。当微处理器送出该信号时,ADC0808/0809的输出三态门被打开,使转换结果通过数据总线被读走。在中断工作方式下,该信号往往是CPU发出的中断请求响应信号。3) 工作时序与使用说明ADC 0808/0809的工作时序如图11.21所示。当通道选择地址有效时,ALE信号一出现,地址便马上被锁存,这时转换启动信号紧随ALE之后(或与ALE同时)出现。START的上升沿将逐次逼近寄存器SAR复位,在该上升沿之后的2s加8个时钟周期内(

9、不定),EOC信号将变低电平,以指示转换操作正在进行中,直到转换完成后EOC再变高电平。微处理器收到变为高电平的EOC信号后,便立即送出OE信号,打开三态门,读取转换结果。图11.21 ADC 0808/0809工作时序模拟输入通道的选择可以相对于转换开始操作独立地进行(当然,不能在转换过程中进行),然而通常是把通道选择和启动转换结合起来完成(因为ADC0808/0809的时间特性允许这样做)。这样可以用一条写指令既选择模拟通道又启动转换。在与微机接口时,输入通道的选择可有两种方法,一种是通过地址总线选择,一种是通过数据总线选择。如用EOC信号去产生中断请求,要特别注意EOC的变低相对于启动信

10、号有2s+8个时钟周期的延迟,要设法使它不致产生虚假的中断请求。为此,最好利用EOC上升沿产生中断请求,而不是靠高电平产生中断请求。7.3 A/D转换器ADC0809与 MCS-51单片机的接口设计 ADC0808/0809八位逐次逼近式A/D转换器是一种单片CMOS器件,包括8位的模/数转换器,8通道多路转换器和与微处理器兼容的控制逻辑.8通道多路转换器能直接连通8个单端模拟信号中一任何一个. 一,ADC0808/0809的内部结构及引脚功能 1,ADC0809转换器内部结构2,ADC0809引脚功能分辨率为8位.最大不可调误差ADC0808小于1/2LSB,ADC0809小于1LSB单一+

11、5V供电,模拟输入范围为05V.具有锁存三态输出,输出与TTL兼容.功耗为15mw.不必进行零点和满度调整.转换速度取决于芯片的时钟频率.时钟频率范围:101280KHZ当CLK=500KHZ时,转换速度为128s.IN0IN7:8路输入通道的模拟量输入端口. 2-12-8:8位数字量输出端口. START,ALE:START为启动控制输入端口,ALE为地址锁存控制信号端口.这两个信号端可连接在一起,当通过软件输入一个正脉冲,便立即启动模/数转换.EOC,OE:EOC为转换结束信号脉冲输出端口,OE为输出允许控制端口,这两个信号亦可连结在一起表示模/数转换结束.OE端的电平由低变高,打开三态输

12、出锁存器,将转换结果的数字量输出到数据总线上.REF(+),REF(-),VCC,GND,REF(+)和REF(-)为参考电压输入端,VCC为主电源输入端,GND为接地端.一般REF(+)与VCC连接在一起,REF(-)与GND连接在一起.CLK:时钟输入端.3,8路模拟开关的三位地址选通编码表ADDA,B,C8路模拟开关的三位地址选通输入端,以选择对应的输入通道. 地 址 码对应的输入通道CBA000011110011001101010101IN0IN1IN2IN3IN4IN5IN6IN7二,ADC0808/0809与8031单片机的接口设计 ADC0808/0809与8031单片机的硬件接

13、口有三种方式,查询方式,中断方式和等待延时方式.究竟采用何种方式,应视具体情况,按总体要求而选择.1.延时方式 ADC0809编程模式在软件编写时,应令p2.7=A15=0;A0,A1,A2给出被选择的模拟通道的地址;执行一条输出指令,启动A/D转换;执行一条输入指令,读取A/D转换结果.通道地址:7FF8H7FFFH下面的程序是采用延时的方法,分别对8路模拟信号轮流采样一次,并依次把结果转存到数据存储区的采样转换程序.START: MOV R1, #50H ;置数据区首地址MOV DPTR, #7FF8H ;P2.7=0且指向通道0 MOV R7, #08H ;置通道数 NEXT: MOVX

14、 DPTR,A ;启动A/D转换MOV R6, #0AH ;软件延时DLAY: NOPNOPNOPDJNZ R6, DLAYMOVX A, DPTR ;读取转换结果MOV R1, A ;存储数据INC DPTR ;指向下一个通道INC R1 ;修改数据区指针 DJNZ R7, NEXT ;8个通道全采样完了吗 . 2.中断方式 将ADC0808/0809作为一个外部扩展的并行I/O口,直接由8031的P2.0和脉冲进行启动.通道地址为FEF8HFEFFH用中断方式读取转换结果的数字量,模拟量输入通路选择端A,B,C分别与8031的P0.0,P0.1,P0.2(经74LS373)相连,CLK由8

15、031的ALE提供. INTADC:SETB IT1 ;选择为边沿触发方式SETB EA ;开中断SETB EX1 ;MOV DPTR, #0FEF8H ;通道地址送DPTRMOVX DPTR,A ;启动A/D转换PINT1: MOV DPTR, #0FEF8H ; 通道地址送DPTRMOVX A, DPTR;读取从IN0输入的转换结果存入MOV 50H, A ;50H单元MOVX DPTR,A ;启动A/D转换RETI ;中断返回三,接口电路设计中的几点注意事项1.关于ADC0808/0809最高工作时钟频率的说明由于ADC0808/0809芯片内无时钟,所以必须靠外部提供时钟;外部时钟的频

16、率范围为10KHZ1280KHZ.在前面的ADC0808/0809通过中断方式与8031单片机接口的电路中,8031单片机的主频接为6MHZ,ALE提供ADC0808/0809的时钟频率为1MHZ(1000KHZ);实际应用系统使用证明,ADC0808/0809能够正常可靠地工作.但在用户进行ADC0808/0809应用设计时,推荐选用640KHZ左右的时钟频率. 2,ADC0816/17与ADC0809的主要区别ADC0816/0817与ADC0808/0809相比,除模拟量输入通道数增至16路,封装为40引脚外,其原理,性能结构基本相同.ADC0816和ADC0817的主要区别是:ADC0

17、816的最大不可调误差为1/2LSB,精度高,价格也高;ADC0817的最大不可调误差为士1LSB,价格低. 习题七 试设计一数据采集系统 2002.10 使用单位: 山东省气象局在东营市孤岛气象观察站设计单位: 山东大学物理与微电子学院2000级设计方案: 自行确定提 示: 对于非模拟物理量,可以用下图示意即可ADC0808百科名片ADC0808是采样分辨率为8位的、以逐次逼近原理进行模/数转换的器件。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换。ADC0808是ADC0809的简化版本,功能基本相同。一般在硬件仿真时采用ADC0

18、808进行A/D转换,实际使用时采用ADC0809进行A/D转换。 ADC0808管脚图内部结构ADC0808是CMOS单片型逐次逼近式AD转换器,它有8路模拟开关、地址锁存与译码器、比较器、8位开关树型A/D转换器。 引脚功能(外部特性)ADC0808芯片有28条引脚,采用双列直插式封装,如右图所示。各引脚功能如下: 15和2628(IN0IN7):8路模拟量输入端。 8、14、15和1721:8位数字量输出端。 22(ALE):地址锁存允许信号,输入,高电平有效。 6(START): AD转换启动脉冲输入端,输入一个正脉冲(至少100ns宽)使其启动(脉冲上升沿使0809复位,下降沿启动A

19、/D转换)。 7(EOC): AD转换结束信号,输出,当AD转换结束时,此端输出一个高电平(转换期间一直为低电平)。 9(OE):数据输出允许信号,输入,高电平有效。当AD转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。 10(CLK):时钟脉冲输入端。要求时钟频率不高于640KHZ。 12(VREF(+)和16(VREF(-):参考电压输入端 11(Vcc):主电源输入端。 13(GND):地。 2325(ADDA、ADDB、ADDC):3位地址输入线,用于选通8路模拟输入中的一路 通道选择极限参数电源电压(Vcc):6.5V 控制端输入电压:-0.3V15V 其它输入和输出

20、端电压:-0.3VVcc+0.3V 贮存温度:-65+150 功耗(T=+25):875mW 引线焊接温度:气相焊接(60s):215;红外焊接(15s):220 抗静电强度:400V一个风格很好的AD转换程序,值得你参考 标签: AD转换程序 顶0 分享到 发表评论(0) 编辑词条 开心001 人人网 新浪微博 /ICC-AVR application builder : 2007/6/23 1:26:55/ Target : M16/ Crystal:1.0000Mhz#include #include #define ADC_VREF_TYPE 0xe0 /选用2.56V 的片内基准电压

21、源,且结果为左对齐#define AD_SE_ADC0 0x00 /ADC0unsigned char Table10 = 0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f; /数码管字型09unsigned char Data4 = 0,0,0,0; /存放A/D转换结果unsigned long int i,j=2560,k=256;void port_init(void)PORTA = 0x01;DDRA = 0x00;PORTB = 0xFF;DDRB = 0xFF;PORTC = 0x0F; /m103 output onlyDDRC

22、 = 0x0F;PORTD = 0x00;DDRD = 0x00;/ADC initialize/ Conversion time: 112uSvoid adc_init(void)ADCSR = 0x00; /disable adcADMUX = 0x00; /select adc input 0ACSR = 0x80;ADCSR = 0x86;/call this routine to initialize all peripheralsvoid init_devices(void)/stop errant interrupts until set upCLI(); /disable al

23、l interruptsport_init();adc_init();MCUCR = 0x00;GICR = 0x00;TIMSK = 0x00; /timer interrupt sourcesSEI(); /re-enable interrupts/all peripherals are now initializedvoid delay_(unsigned char a)unsigned int i;for(i=0;ia*7373;i+);void Display(unsigned char p) /动态显示,unsigned char i;for(i=0;i4;i+)PORTD=0x0

24、1i;PORTB=Tablepi;delay_(5);PORTD&=(0x01i);unsigned int read_adc(unsigned char adc_input) /读取A/D转换结果ADMUX=adc_input|ADC_VREF_TYPE; ADCSRA|=0x40; /启动A/D转换while (ADCSRA&0x10)=0); /等待A/D转换完成ADCSRA|=0x10;return ADCH;void Process(unsigned int i,unsigned char *p) /数据处理函数p0=i/1000;i=i%1000;p1=i/100;i=i%100;

25、p2=i/10;i=i%10;p3=i;void main(void)init_devices();DDRA=0x00; /设置A口为不带上拉输入;PORTA=0x00;DDRB=0xff; /设置B口为输出口;DDRD=0xff; /设置D口为输出口;PORTB=0x3f; /B口初始化输出0 ;D口初始化输出1;点亮全部数码管;PORTD=0xff;ADMUX=ADC_VREF_TYPE; /选择第一通道ADC0;ADCSRA=0xA6; /125k转换速率,自由转换模式;启动A/D转换;delay_(1000); /延时待系统稳定;while(1)i=read_adc(AD_SE_ADC

26、0); /获取A/D转换数据i=(i*j)/k;Process(i,Data); /数据处理 Display(Data); /显示结果delay_(5);AD转换程序汇编语言2007年10月12日 星期五 13:46;实验目的:熟悉A/D转换;软件思路:选择RAO做为模拟输入通道;; 连续转换4次再求平均值做为转换结果; 最后结构只取低8位; 结果送数码管的低3位显示;硬件要求:拨码开关S14第2位置ON,第1位置OFF; 拨码开关S6全部置ON,S5第4-6位置ON,第1-3位置OFF; 为不影响结果,其他拨码开关置OFF。#INCLUDE ;包含芯片头文件_CONFIG _DEBUG_OF

27、F&_CP_ALL&_WRT_HALF&_CPD_ON&_LVP_OFF&_BODEN_OFF&_PWRTE_ON&_WDT_OFF&_HS_OSC;*寄存器定义*TEMP EQU 20H ;临时寄存器BAI EQU 21H ;转换结果的百位SHI EQU 22H ;转换结果的十位GE EQU 23H ;转换结果的个位;* ORG 00H ;复位入口地址 NOP ;ICD需要的空指令 GOTO MAIN ;跳转到主程序入口 ORG 04H ;中断入口地址 RETFIE ;放置一条中断返回指令,防止以外中断发生;*查表程序*;入口参数:W;出口参数:WTABLE ADDWF PCL,1 ;指令寄

28、存器加上偏移地址 RETLW 0C0H ;0的编码(公阳极数码管) RETLW 0F9H ;1的编码 RETLW 0A4H ;2的编码 RETLW 0B0H ;3的编码 RETLW 99H ;4的编码 RETLW 92H ;5的编码 RETLW 082H ;6 RETLW 0F8H ;7 RETLW 080H ;8 RETLW 090H ;9;*主程序*MAIN MOVLW 30H MOVWF FSR ;转换结果存放起始地址LOOP BSF STATUS,RP0 ;选择体1 MOVLW 7H ;A口高3位为输出,低3位输入 MOVWF TRISA CLRF TRISD ;D口设为输出 MOVL

29、W 8EH MOVWF ADCON1 ;结果左对齐,只选择RA0做ADC口,其余做普通数字口 BCF STATUS,RP0 ;回体0 MOVLW 41H MOVWF ADCON0 ;选择时钟源为fosc/8,允许ADC工作 CALL DELAY ;调用延时程序,保证足够的采样时间 BSF ADCON0,GO ;启动ADC转换WAIT BTFSS PIR1,ADIF ;转换是否完成 GOTO WAIT ;等待转换的完成 BSF STATUS,RP0 MOVFW ADRESL ;读取转换的结果 BCF STATUS,RP0 MOVWF INDF ;保存到临时寄存器里 INCF FSR,1 BTFS

30、S FSR,2 ;连续转换4次,求平均值 GOTO LOOP CALL CHANGE ;调用结果转换程序 CALL DISPLAY ;调用显示程序 GOTO MAIN ;循环工作;*转换程序*;入口参数:30H-33H;出口参数:BAI,SHI,GECHANGE CLRF BAI CLRF SHI CLRF GE ;先清除结果寄存器 MOVFW 31H ;以下8条指令求4次转换结果的平均值 ADDWF 30H,1 MOVFW 32H ADDWF 30H,1 MOVFW 33H ADDWF 30H,1 RRF 30H,1 RRF 30H,0 MOVWF TEMP MOVLW 64H ;减100,

31、结果保留在W中 SUBWF TEMP,0 BTFSS STATUS,C ;判断是否大于100 GOTO SHI_VAL ;否,转求十位结果 MOVWF TEMP ;是,差送回TEMP中 INCF BAI,1 ;百位加1 GOTO $-6 ;返回继续求百位的值SHI_VAL MOVLW 0AH ;减10,结果保留在W中 SUBWF TEMP,0 BTFSS STATUS,C ;判断是否大于10 GOTO GE_VAL ;否,转去判断个位结果 MOVWF TEMP ;是,差送回TEMP中 INCF SHI,1 ;十位值加1 GOTO $-6 ;转会继续求十位的值GE_VAL MOVFW TEMP

32、MOVWF GE ;个位的值 RETURN;*显示程序*;入口参数:BAI,SHI,GE;出口参数:无DISPLAY MOVFW BAI ;显示百位 CALL TABLE MOVWF PORTD BCF PORTA,3 CALL DELAY CALL DELAY BSF PORTA,3 MOVFW SHI ;显示十位 CALL TABLE MOVWF PORTD BCF PORTA,4 CALL DELAY CALL DELAY BSF PORTA,4 MOVFW GE ;显示个位 CALL TABLE MOVWF PORTD BCF PORTA,5 CALL DELAY CALL DELAY

33、 BSF PORTA,5 RETURN;*延时程序*;入口参数:无;出口参数:无DELAY MOVLW 5FH MOVWF TEMP DECFSZ TEMP,1 GOTO $-1 RETURN;* END ;程序结束串行AD转换芯片与51单片机的接口电路及程序设计 - - 串行AD转换芯片与51单片机的接口电路及程序设计AT89C51单片机系统经常使用AD转换器。虽然并行AD转换器速度高、转换通道多,但其价格高,占用单片机接口资源比串行AD转换器多。工业检测控制及智能化仪器仪表中经常采用串行AD转换器。ADS1110是一种精密、可连续自校准的串行AD转换器,带有差分输入和高达16位的分辨率,其

34、串行接口为I2C总线。AT89C51单片机通过软件模拟I2C总线实现与ADS1110的连接。ADS1110的特点与内部结构ADS1110的特点完整的数据采集系统和小型SOT23-6封装;片内基准电压:精度2.048 V+0.05;片内可编程增益放大器PGA;片内振荡器;16位分辨率;可编程的转换速率15次秒240次秒;I2C总线接口(8个有效地址);电源电压2.7 V5.5 V;低电流消耗240 A。ADS1110的引脚功能ADS1110串行AD转换器采用6引脚贴片封装,其引脚排列如图1所示。VDD:电源端,通常接+5V;GND:模拟地和数字地;VIN+、VIN-:采样模拟信号输入端,其范围为

35、2.048 V2.048 V;SCL:I2C总线时钟线;SDA:I2C总线数据线。ADS1110的内部结构ADS1110是由带有可调增益的-型转换器内核、2.048 V的电压基准、时钟振荡器和I2C总线接口组成。其内部结构如图2所示。 ADS1110的寄存器读写配置请参考:ADS110引脚功能,寄存器配置及应用电路介绍 ADS1110的AD转换器内核是由差分开关电容-调节器和数字滤波器组成。调节器测量正模拟输入和负模拟输入的压差,并将其与基准电压相比较。数字滤波器接收高速数据流并输出代码,该代码是一个与输入电压成比例的数字,即AD转换后的数据。ADS1110片内电压基准是2.048 V。ADS

36、1110只能采用内部电压基准该基准,不能测量,也不用于外部电路。ADS1110片内集成时钟振荡器用于驱动-调节器和数字滤波器。ADS1110的信号输入端设有可编程增益放大器PGA,其输入阻抗在差分输入时的典型值为2.8 M。硬件设计由于AT89C51单片机没有I2C总线接口,可通过软件模拟实现与I2C总线器件的连接。具体方法是将单片机的IO接口连接至I2C的数据线SDA和时钟线SCL。通过软件控制时钟和数据传输,系统灵活性强。图5所示是数据采集显示系统,采集工业现场的4路模拟信号并轮询显示。采用4个ADS1110作为AD转换器,地址为ED0ED3。具有I2C总线接口的EEPROM AT24C1

37、6作为存储器。本系统有4位LED数码显示管和4个参数设定按键。采集数据经数字滤波、16进制工程值转换后,送至数码管轮询显示。ADS1110和AT24C16的I2C接口连ADSl110数据线SDA至单片机的P1.0,时钟线SCL连接单片机的P1.1,上拉电阻阻值选10 k。软件设计按照硬件电路,编写AD转换子程序为ADS0,其中嵌套调用了START,为起始命令子程序,FSDZ1为向ADS1110发送单个字节命令的子程序,ADREAD是读取输出寄存器和配置寄存器的子程序,STOP是停止命令子程序。ADS0只对地址为ED0的ADS1110读数,如果要读取其他ADS1110,只需更改地址即可。系统中A

38、DS1110的工作方式选用默认设置,即配置寄存器内容为#8CH,所以程序未向配置寄存器写入数据。程序代码如下:5 结束语ADS1110是一款高性价比具有I2C总线接口的串行AD转换器。ADS1110已在单片机系统中应用,并用于现场。实践证明,ADS1110和单片机组成的数据采集系统,占用IO端口少、功耗低,适用无电源场合。但需注意的是,因I2C总线为串行扩展总线,数据采集时不能用于实时速度要求较高的场合。 上一篇:串行A/D转换器ADSL1110引脚图,特点及内部结构介绍 本文来自: DZ3W.COM 原文网址:2008-08-17 03:54;模数转换8位,最小精度0.02,;ADC 080

39、9;外部频率500KHZ; -; 0.00.3位控制-|p0 p2 |-|-/8-显示段控制; 0.40.7按键-| |; | |; | |; | |-ALE-CLOCK; | |; | |-p3.5- OE; A/D 8BIT-|p1 P3 |-P3.4-EOC; | |-p3.3-START/ALE; | |-P3.0P3.2-显示位控制; -;转换顺序,先选通地址,再SAA脉冲信号,延时10MS,等待EOC为高,从P1口读入,(也可以P2口读入);作为动态自动扫描时,用33H存显示的通道,并赋给P3口,而P3口高位全为一,保证数据的有效读入;晶振12MHZ;30,31,32-=显示字,3

40、3H-8BIT,34H-MODE,35H-BIT CONTROL,36-显示通道字 37H-10 38H-FFHSTA BIT P3.6;START 11010 000ALE BIT P3.3 ; ALEEOC BIT P3.4OE BIT P3.5DYBJ BIT 20H.0 ;大于比较XYBJ BIT 20H.1 ;小于比较CCBJ BIT 20H.2 ;存储电压标记;*程序开始初始化*ORG 0000HSTART:MOV P0,#0FFHMOV P1,#0FFHMOV P3,#0D0HMOV P2,#0FFHMOV 20H,#00H ;延时初始化MOV R5,#25MOV R6,#50M

41、OV R7,#50MOV 30H,#0BFH; - 显示初始化 显示位 2MOV 31H,#0BFH ; - 显示初始化 显示位 3MOV 32H,#0BFH ; - 显示初始化 显示位4MOV 33H,#00H ;BIT CONTROL -8MOV 34H,#00H ;MODE SELECT COUNTERMOV 36H,#0BFH ; - 显示初始化 显示位1; -存储区初始化-MOV 50H,#00HMOV 51H,#00HMOV 52H,#00HMOV 53H,#00HMOV 54H,#00HMOV 55H,#00HMOV 56H,#00HMOV 57H,#00HCALL XIANSH

42、IAJMP ITMODETS;-DELAY: ;10 MSDJNZ R5,$MOV R5,#25 ;提高扫描次数DJNZ R6, DELAYMOV R6,#50RET;*显示部分800MS*XIANSHI:MOV P2,36HCLR P0.0CALL DELAYSETB P0.0MOV P2,30HCLR P0.1CALL DELAYSETB P0.1MOV P2,31HCLR P0.2CALL DELAYSETB P0.2MOV P2,32HCLR P0.3CALL DELAYSETB P0.3DJNZ R7,XIANSHIMOV R7,#50RET;-模式部分-ITMODETS:;智能模式

43、提示MOV 33H,#00HMOV 36H,#0A4H ;ZMOV 30H,#0C8H ;NMOV 31H,#0A3H ;oMOV 32H,#0A3H ;oCALL XIANSHIITMODE: ;智能模式JNB P0.4,AUTOMODETSCALL WBQZMOV A,21HCJNE A,#00H,ITMODE0JMP ITMODE1ITMODE0:CALL TDXIANSHICALL XIANSHI ;延长时间ITMODE1:CALL TDADD1AJMP ITMODE;-CUN CHU MODE-STOREMODETS: ;智能选择,循环显示MOV R1,#50HMOV 33H,#00

44、HMOV 36H,#0C6H ;CMOV 30H,#0C6H ;CMOV 31H,#0A3H ;oMOV 32H,#0A3H ;oCALL XIANSHIJNB P0.4,STOREMODETS;防止按键时间过长,跳过该模式STOREMODE: ;存储模式;初始化R1JNB P0.4,ITMODETSMOV 21H,R1MOV A,21HCJNE A,#00H, STMODEJMP STMODE0STMODE:CALL CCTDSTMODE0:CALL TDADD1INC R1CJNE R1,#58H,STOREMODEMOV R1,#50HAJMP STOREMODE;-AUTO MODE-

45、AUTOMODETS:MOV 33H,#00HMOV 36H,#0A4H ;ZMOV 30H,#0A1H ;dMOV 31H,#0A3H ;oMOV 32H,#0A3H ;oCALL XIANSHIAUTOMODE: ;自动模式JNB P0.4,MANMODETSCALL TZXCALL TDADD1AJMP AUTOMODE;-MAN MODE-MANMODETS:MOV 33H,#00HMOV 36H,#092H ;SMOV 30H,#0A1H ;dMOV 31H,#0A3H ;oMOV 32H,#0A3H ;oCALL XIANSHIMANMODE: ; 手动模式 ,具有电压存储功能JN

46、B P0.4,STOREMODETSCALL TZXJB P0.6, MMDCALL DELAYJB P0.6, MMDCALL TDADD1 ;通道加一 按键检测AJMP MANMODEMMD:JB P0.7,MMD0CALL DELAYJB P0.7,MMD0CALL TDPLUS1 ;通道减一 按键检测AJMP MANMODEMMD0: ;存储通道电压按键检测JB P0.5,MANMODECALL DELAYJB P0.5,MANMODEMOV R1,#50H ;按通道存储MOV A, 33HADD A, R1MOV R1,AMOV R1,21H ; 加入存储动作提示MOV 36H,#0

47、C6HMOV 30H,#0C6HMOV 31H,#0BFHMOV 32H,#0BFHCALL XIANSHIJMP MANMODE;-一体化部分-TZX:;通道,转换,显示一体化CALL TDXIANSHICALL WBQZCALL XIANSHIRETCCTD: ;存储通道,编码,显示一体化CALL TDXIANSHICALL CONVERTCALL XIANSHIRET;-通道显示转化部分-TDXIANSHI: ;通道字的转换MOV A,33HMOV DPTR,#XSSMOVC A,A+DPTRMOV 36H,ARETTDADD1: ;通道加一MOV A,33HINC ACJNE A,#0

48、8H,TDTZMOV 33H,#00HRETTDTZ: ;通道调整MOV 33H,ARETTDPLUS1: ;通道减一MOV A,33HDEC ACJNE A,#0FFH,TDTZ;通道调整MOV 33H,#00HRET;+计数部分 JISHI BU FEN+;以下为电压查询部分,可直接调用-WBQZ: ;外部取值MOV 20H,#00HMOV P2,#0FFHMOV 35H,33HORL 33H,#0D0HMOV P3,33HMOV 33H,35HSETB ALECLR STAJNB EOC,$MOV P1,#0FFH ; 高阻态SETB OEMOV A,P1MOV 21H,ACONVERT

49、: ;电压查询转换MOV 30H,#2MOV 31H,#5MOV 32H,#00HCLR OEAJMP SWCXSWCX:MOV A,30HMOV DPTR,#ZSB;整数表,存的是二进制电压MOVC A,A+DPTRCJNE A,21H,JXC;继续查AJMP SWCC;首位查出JXC:SUBB A,21HJC ADD0 AJMP PLUS0PLUS0: DEC 30H JB XYBJ,ZWCX;中为查询 CLR C SETB DYBJ AJMP SWCXADD0: JB DYBJ,ZWCX INC 30H CLR C SETB XYBJ AJMP SWCXZWCX: ;中为查询,根据30H的值,找出表单 MOV A,30H CX0V: CJNE A,#00H,CX1V MOV DPTR,#TAB0V AJMP ZWXC CX1V: CJNE A,#01H,CX2V MOV DPTR,#TAB1V AJMP ZWXC;中位详查 CX2V: CJNE A,#02H,CX3V MOV DPTR,#TAB2V AJMP ZWXC CX3V: CJNE A,#03H,CX4V MOV DPTR,#TAB3V AJMP ZWXC CX4V: CJNE A,#04H,WRONG MOV DPTR,#TAB4V

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!