状态机-自动售货机.ppt

上传人:xin****828 文档编号:15966896 上传时间:2020-09-14 格式:PPT 页数:28 大小:1.63MB
收藏 版权申诉 举报 下载
状态机-自动售货机.ppt_第1页
第1页 / 共28页
状态机-自动售货机.ppt_第2页
第2页 / 共28页
状态机-自动售货机.ppt_第3页
第3页 / 共28页
资源描述:

《状态机-自动售货机.ppt》由会员分享,可在线阅读,更多相关《状态机-自动售货机.ppt(28页珍藏版)》请在装配图网上搜索。

1、1,状态机设计,-自动售货机,2,5.1 状态机设计相关语句,5.1.1 类型定义语句,TYPE 数据类型名 IS 数据类型定义 OF 基本数据类型 ;或 TYPE 数据类型名 IS 数据类型定义 ;,TYPE st1 IS ARRAY ( 0 TO 15 ) OF STD_LOGIC ; TYPE week IS (sun,mon,tue,wed,thu,fri,sat) ;,TYPE m_state IS ( st0,st1,st2,st3,st4,st5 ) ; SIGNAL present_state,next_state : m_state ;,TYPE BOOLEAN IS (FA

2、LSE,TRUE) ;,3,5.1 状态机设计相关语句,5.1.1 类型定义语句,TYPE my_logic IS ( 1 ,Z ,U ,0 ) ; SIGNAL s1 : my_logic ; s1 = Z ;,SUBTYPE 子类型名 IS 基本数据类型 RANGE 约束范围;,SUBTYPE digits IS INTEGER RANGE 0 to 9 ;,4,5.1 状态机设计相关语句,5.1.2 状态机的优势,1状态机克服了纯硬件数字系统顺序方式控制不灵活的缺点; 2由于状态机的结构相对简单,设计方案相对固定; 3、状态机容易构成性能良好的同步时序逻辑模块; 4、与VHDL的其他描述

3、方式相比,状态机的VHDL表述丰富多样、程序层次分明,结构清晰,易读易懂;在排错、修改和模块移植方面也有其独到的好处; 5、在高速运算和控制方面,状态机更有其巨大的优势。 6、高可靠性。,5,5.1 状态机设计相关语句,5.1.3 状态机结构,1. 说明部分,ARCHITECTURE .IS TYPE FSM_ST IS (s0,s1,s2,s3); SIGNAL current_state, next_state: FSM_ST; .,6,5.1 状态机设计相关语句,5.1.3 状态机结构,2. 主控时序进程,图5-1 一般状态机结构框图,7,5.1 状态机设计相关语句,5.1.3 状态机结

4、构,3. 主控组合进程,4. 辅助进程,8,状态机(State Machine)是一类很重要的时序电路,是很多数字电路的核心部件,是大型电子设计的基础。状态机相当于一个控制器,它将一项功能的完成分解为若干步,每一步对应于二进制的一个状态,通过预先设计的顺序在各状态之间进行转换,状态转换的过程就是实现逻辑功能的过程。,状态机设计-自动售货机,9,图1 一般状态机结构框图,10,要求:货物单价1.5元,有1元和0.5元两种硬币,每次投入一枚硬币,机器能找零。,自动售货机,11,状态机设计-自动售货机,12,1、需求分析,货物单价:1.5元 两种硬币: 1元或0.5元 每次可投入一枚硬币 可以输出货

5、物 也可能找零,投币口,找零口,出货口,自动售货机的VHDL设计。要求:,13,1、需求分析,货物单价:1.5元 两种硬币:1元或0.5元 每次可投入一枚硬币 可以输出货物 也可能找零,自动售货机的VHDL设计。 要求:,三种输入:,0元,0.5元,1元,五种状态,已投入0元,已投入0.5元,已投入1元,已投入1.5元,已投入2元,S0,S1,S2,S3,S4,三种输出:,无输出,有货物,无找零,有货物,并找零,00,01,10,IN1,Y,00,10,11,14,2、状态转换图,IN1,15,2、状态转换图,16,3、编程及仿真,实体,结构体,17,3、编程及仿真,IN1,CLK,Y,ZD,

6、LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY ZD IS PORT(clk,RST:IN std_logic; IN1:IN std_logic_vector(1 DOWNTO 0); Y:OUT std_logic_vector(1 DOWNTO 0); END ZD ;,RST,实体,18,3、编程及仿真,结构体,19,3、编程及仿真,ARCHITECTURE be OF ZD IS BEGIN reg:PROCESS(RST,clk) -时序进程 BEGIN End process; com:PROCESS(current_state

7、,IN1)-组合进程 BEGIN End process; END be;,IF RST=1 THEN current_state=S0; ELSIF rising_edge(clk)THEN current_state=next_state; END IF;,CASE current_state IS WHEN S0= WHEN S1= WHEN S2= WHEN S3= WHEN S4= END CASE;,Y=00; IF IN1=“00” THEN next_state=S0; ELSIF IN1=01 THEN next_state=S1; ELSIF IN1=10 THEN nex

8、t_state=S2; END IF;,TYPE fsm_st IS (S0,S1,S2,S3,S4); SIGNAL current_state,next_state:fsm_st;,20,3、编程及仿真,ARCHITECTURE be OF ZD IS TYPE fsm_st IS (S0,S1,S2,S3,S4); SIGNAL current_state,next_state:fsm_st; BEGIN reg:PROCESS(RST,clk) BEGIN IF RST=1 THEN current_stateY=00; IF IN1=00 THEN next_state=S0; EL

9、SIF IN1=01 THEN next_state=S1; ELSIF IN1=10 THEN next_state=S2; END IF;,21,WHEN S1=YYY=10; IF IN1=“00” THEN next_state=S0; ELSIF IN1=01 THEN next_state=S1; ELSIF IN1=10 THEN next_state=S2; END IF;,3、编程及仿真,WHEN S4=Y=11; IF IN1=00THEN next_state=S0; ELSIF IN1=01 THEN next_state=S1; ELSIF IN1=10 THEN next_state=S2; END IF; END CASE; END PROCESS; END be;,22,3、编程及仿真,编程,23,3、编程及仿真,仿真,24,3、编程及仿真,结果分析,25,4、硬件验证测试,找零,货物,0.5元,1元,复位,硬件管脚定义,26,4、硬件验证测试,硬件测试结果,27,小结,状态机的VHDL设计模式相对固定,常用两进程,加上必要的辅助进程,有时也用单进程。 状态机处理问题较复杂,应用场合不固定,因此用于实际应用时,还要根据情节调整程序。,28,实验:序列信号检测器,

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!