全方位超声测距系统

上传人:痛*** 文档编号:157908813 上传时间:2022-10-01 格式:DOC 页数:62 大小:1.17MB
收藏 版权申诉 举报 下载
全方位超声测距系统_第1页
第1页 / 共62页
全方位超声测距系统_第2页
第2页 / 共62页
全方位超声测距系统_第3页
第3页 / 共62页
资源描述:

《全方位超声测距系统》由会员分享,可在线阅读,更多相关《全方位超声测距系统(62页珍藏版)》请在装配图网上搜索。

1、武汉大学电子信息学院项目名称:全方位超声测距系统项目类别:电子设计组队方式:三人一组队长:张提升队员:张提升 李大乾 尹朝亮全方位超声测距系统作者:张提升 李大乾 尹朝亮指导老师:黄根春摘要: 本设计基于超声波测距原理,以单片机(AT89S52)+CPLD作为控制核心,采用渡越时间测量法测距,步进电机配合完成正反转等各种动作,实现了全方位超声测距。系统可在LCD上实时显示温度、距离和角度。本设计考虑到温度会对本系统测量的影响,根据超声波传播速度与温度的数学补偿公式,采取软件编程的方式予以科学合理的补偿,大大减少了温度变化对测量的影响,提高了测量精度。另一方面,在实现计数功能的设计方面,我们用C

2、PLD芯片取代了单片机外围扩展硬件电路,提高了计数的稳定性、可靠性、精确度,从而提高了超声波往返时间t的测量精度,最终达到了提高测距精度的目的。步进电机采用四相八拍工作方式,步进0.9,相角测量也达到了很高的精度。关键字:超声波 CPLD 电动机 单片机 渡越时间测量法。注释:本设计技术是雷达原理的的缩影,是用来精密测量周围360度内的物体,并能准确判断方向,是对专业高科技技术的很好的学习和应用,有利于今后的学习和深造。它的意义是深远的。目录1. 系统设计411设计要求.4111 任务412 设计总体方案.4121设计思路和部分原理论证4(1)渡越时间测量法原理 4(2)超声波发射器原理5(3

3、)盲区及发、收时序6(4温度补偿6(5步进电机测相原理61.2.2方案论证与比较 10(1)控制方案10(2)距离测量10(3)超声波发送脉冲的产生.11硬件电路设计132.1超声波发送部分132.2回波接收及处理部分132.3步进电机部分152.4显示部分162.5 CPLD部分162.6电源部分163.硬件设计时的抗干扰技术.183.1 数字系统与模拟系统183.2电源的干扰与抑制193.3接地技术203.4隔离技术与屏蔽线的使用213.5滤波技术223.6常用芯片的抗干扰措施233.7自激振荡及其消除方法243.8运放参数测量介绍264.软件设计.294.1软件流程图.304.2程序列单

4、.315软件wave的使用方法.375.系统测试.685.1 测量仪器及型号.685.2 测试方法.695.3 测量数据695.4 实验误差分析.695.5结论70参考文献70附录 元器件清单71后续731系统设计1.1设计要求1.1.1 任务设计并制作一个超声波测距系统,实现全方位距离的实时测量。测量距离大于1米,并能测方向。精度小于1cm,盲区尽量小。1.2 设计总体方案1.2.1设计思路和部分原理论证整个系统由发射、接收、回波处理、系统控制、显示、电机控制和电源七个部分组成。发射部分的任务是将CPLD产生的40KHZ信号放大后提供给超声波换能器,由此将超声波发射出去;接收电路则接收由被测

5、物反射回来的回波信号,然后经回波处理部分放大、滤波、整形、反相后形成宽脉冲触发信号对判决电路进行触发;系统控制电路的作用是对整个系统进行控制和管理;显示部分负责将距离、角度信息显示出来;电机部分则控制发射、接收换能器的动作,实现全方位扫描;电源为整个系统提供电源。框图如下:121图系统总体框图(1)渡越时间测量法原理检测从超声波发射器发出的超声波,经气体介质的传播到接收器的时间,即渡越时间。渡越时间与气体中的声速相乘,就是声波传输的距离。考虑实际情况,采用异地脉冲反射式来测距,即需测距离式声波传输距离的一半: 式中,为待测距离,为超声波的声速,为渡越时间。由下式计算测量误差 式中,为测距误差,

6、为声速,为时间测量误差,为声速误差。若要求测距误差小于0.01m,已知声速344m/s(20时),忽略声速误差,那么测量时间的误差: (6)显然,直接用秒表测时间是不现实的。因此,实现声波测距必须避开直接测量时间的方法,才能获得实用的测长精度。我们利用CPLD的脉冲计数的方法,间接测量时间,可以把声波的时间精度提高到所需的准确度,也就是把超声波往返时间转化为对计数脉冲个数N的测量,所以上式可写成 式中,S为等效标尺,为计数脉冲的频率,为声速,所以 在本系统中,计数脉冲的频率40MHz。(2)超声波发射器原理总体上讲超声波发生器可以分为两大类:一类使用电气方式产生超声波,一类是用机械方式产生超声

7、波。电气方式包括压电型,磁致伸缩型和电动型等;机械方式有加尔统笛、液哨和气流旋笛等。我们选用压电型。压电式超声波发生器实际上是利用压电晶体的谐振来工作的。超声波发生器内部有两个压电晶片和一个共振板,当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频率时,压电晶片将会发生共振,并带动共振板振动,便产生超声波。反之,如果两电极间未外加电压,当共振板接收到超声波时,将压迫压电晶片作振动,将机械能转换为电信号,这时它就成为超声波接收器了。经分析和大量实验表明,频率为40KHz左右的超声波在空气中传播的效率最佳,同时为了处理方便,发射的超声波被调制成40KHz左右、具有一定间隔的调制脉冲波信号。(3

8、)盲区及发、收时序由于电声换能器的电气阻尼振荡和机械阻尼振动共同作用下,产生余振阻尼衰减信号,在换能器由发射状态转入接收状态后,首先接收到的信号是这种余振信号(绕射),在此信号衰减到足够小的时间内,换能器接收到的回波信号与阻尼衰减信号叠加混淆,使电路鉴别不出真正的回波。在这段时间内收到的回波,系统应对其进行屏蔽,以免发生误判。这样就导致了盲区的产生。工作时序示意图如下: 其中a脉冲为发送端的置位脉冲,同时作为计时器的起始脉冲;b脉冲为虚假脉冲(由绕射产生,应避开);a 、b的时间间隔为盲区;c脉冲为接受端接收到的有效回波脉冲,用于停止计时器的计时。程序的主要任务就是检测a 、c脉冲,以启停计时

9、器的计时,进而得出时间,再由数据处理、修正单元计算出实际距离,然后供显示。(4) 温度补偿 声音在25空气中传播速度的理论值为344m/s,这个速度在0时降为334m/s。超声波在媒质中的传播速度和温度关系很大,为提高测距精度,我们必须要采取温度补偿措施,降低温度变化对测量精度的影响。声速和温度的关系可以用以下公式来表示:= (m/s) 我们采用数字温度传感器DS 18B20测温,然后利用上式算出当前声速,从而得到精确的距离。(5)步进电机测相原理步进电机有两种基本的形式:可变磁阻型和混和型。步进电机的基本工作原理,结合图1的结构示意图进行叙述。图1是一种四相可变磁阻型的步进电机结构示意图。这

10、种电机定子上有八个凸齿,每一个齿上有一个线圈。线圈绕组的连接方式,是对称齿上的两个线圈进行反相连接,如图中所示。八个齿构成四对,所以称为四相步进电机。它的工作过程是这样的:当有一相绕组被激励时,磁通从正相齿,经过软铁芯的转子,并以最短的路径流向负相齿,而其他六个凸齿并无磁通。为使磁通路径最短,在磁场力的作用下,转子被强迫移动,使最近的一对齿与被激励的一相对准。在图1(a)中A相是被激励,转子上大箭头所指向的那个齿,与正向的A齿对准。从这个位置再对B相进行激励,如图1中的(b),转子向反时针转过15。若是D相被激励,如图1中的(c),则转子为顺时针转过15。下一步是C相被激励。因为C相有两种可能

11、性:ABCD或ADCB。一种为反时针转动;另一种为顺时针转动。但每步都使转子转动15。电机步长(步距角)是步进电机的主要性能指标之一,不同的应用场合,对步长大小的要求不同。改变控制绕组数(相数)或极数(转子齿数),可以改变步长的大小。它们之间的相互关系,可由下式计算:L360 PN式中:L为步长;P为相数;N为转子齿数。在图1中,步长为15,表示电机转一圈需要24步。混和步进电机的工作原理在实际应用中,最流行的还是混和型的步进电机。但工作原理与图1所示的可变磁阻型同步电机相同。但结构上稍有不同。例如它的转子嵌有永磁铁。激励磁通平行于X轴。一般来说,这类电机具有四相绕组,有八个独立的引线终端,如

12、图2a所示。或者接成两个三端形式,如图2b所示。每相用双极性晶体管驱动,并且连接的极性要正确。图3所示的电路为四相混和型步进电机晶体管驱动电路的基本方式。它的驱动电压是固定的。表1列出了全部步进开关的逻辑时序。其中,用“ON”代表“1”,“OFF”代表“0”。四相混和型步进电机,有一特点很有用处。它可以用半步方式驱动。就是说,在某一时间,步进角仅前进一半。用单个混合或用双向开关即可实现,这种逻辑时序由表2列出。四相混和型步进电机,也能工作于比额定电压高的情况。这可以用串联电阻进行降压。因为1相和2相,3相和4相是不会同时工作的,所以每对仅一个降压电阻,串接在图3中的X和Y点之间。值得注意的是,

13、电机步进为1234的顺序。在同一时间,有两相被激励。但是1相和2相,3相和4相绝对不能同时激励。由此上述原理即可设计出步进电机的驱动时序。我们选用四相步进电机,其中有四个电磁铁线圈,也就是有四相,每两个组成一组,如下图所示.对A相加脉冲时,在外侧定子线圈的上方为N极,下方为S极.于是永磁铁的转子被吸引,S极在上侧面N极在下侧,若对B加脉冲,则定子的右侧为N极,左侧为S极,转子向右方向.再往下,由于加上相相脉冲,转子向右转动.这样在电机上按A相B相相相顺序加上脉冲,则电机可继续向右旋转.而且,这时的转速与所加脉冲的频率成比例.也就是频率低转速慢,频率高转速快,电机的转速完全能由数字决定.表示电机

14、的规格时用一个脉冲所旋转的角度,或旋转一周所需要的脉冲数,本电机为/脉冲.FPGA发给步进电机的脉冲为40KHZ,所以步进电机转需要的时间t:满足题目步进电机在100秒内转动一周(360)的要求。步进电机在40KHZ脉冲的控制下,将准确地每次转动0.9度,对40KHZ的脉冲计数,就可准确得到对应的角度。1.2.2方案论证与比较 (1)控制方案 方案一:单片机方案;采用单片机定时器产生超声波发射器所需40K方波,发射时间由单片机测取。步进电机亦由单片机控制。当按键输入开始扫描命令时,步进电机开始旋转,超声波发射器开始发射一次超声波群,同时开始计时,当超声波接收器接受到经反射得到的回波时,单片机产

15、生一次中断,中断中停止计时得到所需时间数据(步进电机计时器不停),计算得到障碍物的距离、角度,显示并发往PC机。然后开始下一次测量。方案二:单片机+CPLD方案;由CPLD产生超声波发射器所需的脉冲群和步进电机所需的驱动信号,以及记时工作;单片机控制装置的开始和结束以及每一次测量的起停。每次测量由单片机计算处理、显示。方案一系统规模小,有一定灵活性,但是需要的定时器数目很多,且单片机(89S52)产生40K的方波信号有较大误差,将使超声波发射接收装置的灵敏度降低,且控制难度大,控制效果不理想,难以达到题目要求。方案二充分考虑了单片机控制功能力强和CPLD高速、易操作的特点,系统结构清晰、规模较

16、小、可以实现复杂控制,且精度高,易于扩展。鉴于以上分析,本系统使用方案二。(2)距离测量方案一:相位检测法;通过比较发射波和反射波的相位,推算出时间t,进而得出距离。此法精度高,但检测的范围有限。且要做到精确的相位检测,硬件电路相当复杂。方案二:声波幅值检测法;检测回射波的幅值。在发射功率一定的情况下,回射波幅值随测量距离的增大而衰减,而回射波幅至的大小将直接影响测量的精度。此法易受反射波的影响,反射回波幅值的大小直接影响者测量的精度,在发*射功率一定的情况下,随着测量距离的增大,反射波幅度衰减较大,不易测量。因此该方案只适合粗略测量,精度达不到题目中的要求。方案三:固定距离标定法;这种方法是

17、指在现场先找一个固定距离SF进行测量,其回声时间为tF,这段声程内的声速为vF,然后对待测目标物进行测量,若收到目标物SF处的回声时间为tX,则: 式中SF、tF、tX都是已知的,且在相同条件下或两声速相差不大时,vF、vX可视为相同,两式相除得到: 只要精确地测量出标定距离,取得正确的标定回波和目标回波时间,就能使测距值SX达所要求的准确度。此法对SF的依赖过大,在没有精确测量工具的前提下,不太可取。而且,此法的主要目的就是避开温度不均对声速的影响,在实验室环境下,温度恒定,这种考虑就显得没有必要了。方案四:度越时间检测法;检测从超声波发射器发出的超声波,经气体介质的传播到接收器的时间,即度

18、越时间。度越时间与超声波在气体中传播速度相乘即得声波传播的距离。此法不用考虑反射信号的大小,只检测反射信号的有无,通过精确的定时,即可求出距离。适当的增大发射功率可测量较长的距离。要做到一定精度的定时,可采用对较高频率脉冲计数的办法;对反射信号的检测只用检测其有无,不检测其大小,因此硬件电路将大大简化。另外通过实时测温,得出当前温度,从而算出当前声速。利用就可以得到相当准确的结果。鉴于以上分析,我们选用方案四。(3)超声波发送脉冲的产生方案一:单片机产生;该方案既可以采用单片机定时器产生40K方波,又可以对单片机12MHz晶振进行300分频得到40K方波,但是这两种方法都有一个共同的缺点:频率

19、误差大。这样就可能导致超声波发射器达不到最大功率,使测量范围受到影响。另外,此方案对于谐振频率偏离40KHz的换能器显得有些束手无策。方案二:脉冲输出DDS(NCO);其基本原理是:以频率控制字K为步进,对相位增量进行累加,以累加器的最高位(进位位)作为输出,输出频率为: 其中,fc为参考时钟频率,N 为累加器长度。该方案稳定度和精度都特别高,而且输出频率可以任意设定,对于谐振频率偏离40KHz的换能器而已,完全不受影响。 鉴于以上分析,我们采用方案二。硬件电路设计2.1超声波发送部分 超声波发送脉冲信号由CPLD产生,将脉冲信号放大用以驱动超声波换能器发送超声波。并由FPGA控制脉冲群的脉冲

20、个数(10脉冲)及脉冲群之间的时间间隔(连续发送),其发送间隔取决于要求测量的最大距离。若在有效测距范围内有被测物,则在后一路探测波束发出之前应当接收到前一路发出的反射波,否则认为前一路没有探测到物体。按有效测距范围及最大测量距离可以算出最短的脉冲群间隔发送时间。例如,最大测距距离为2m时,脉冲间隔时间t=2D/C=22/34012ms, ,实际应取t12ms(我们最后确定为50ms)。电路图如下:图示2。1 超声波发射部分2.2回波接收及处理部分 超声波接收是用来将探测波回波的声能转换为电信号,实现超声波回波的接收。在被测物距离较远的情况下,回波很弱,一般在mV级,为此需要将信号放大1000

21、倍左右。放大后的信号经滤波、整形后输出一个方波信号,此方波信号经反相器74HC04后向FPGA计数控制器发出申请,停止计数器计数,单片机读取时间计数器的计数值。电路图如下:图示2。2超声波接收部分电路图2.3步进电机部分电机采用四相八拍工作方式,需要对电机的每“一相”进行驱动。驱动电路中,选用TIP41C达林顿三极管,功率电阻Rc参数为8 、2W,在C极与电机电源之间接入一个保护二极管(型号IN4002),防止功率管T从工作状态变为截止状态时,由于感性电机绕组电流的连续性而会在三极管C极上产生一个较强的反向电动势(电流),而损害三极管;E和C极间的二极管(型号IN4002)的加入是为了增大三极

22、管的反向耐压值,起到泄流保护作用。驱动电路如下:图2。3步进电机驱动部分电路图其工作原理是:当在A、B、C、D电平输入端输入高电平时,使中光敏二极光发光,从而光耦三极管工作。因三极管的C极、E极的电流大约满足相等的关系,故C极与E极相当于导通,从而形成电源到地的回路,使次的达林顿三极管无法工作,再使电机的该相不能工作。反之,当A、B、C、D电平输入为低时,使用权光耦三极管不工作,从而使达林顿三极管工作,最终驱动步进电机转动。2.4显示部分单片机将所测得的数据进行相应的处理后,实时地将数据送给12864液晶屏(LCD)显示,显示信息包括:距离、角度、状态。2.5 CPLD部分我们采用CPLD脉冲

23、发送控制、接受处理进行控制。单片机只控制整个系统的复位与启动,在整个收发过程中,单片机完全不干预,而是由CPLD内部的状态机来,控制脉冲发射的启动与停止,控制回波计数器的启动与停止,控制收发干扰的消除。这样,整个系统便工作在了极高的速度下,大大提高了测量的精度。并且,使单片机能集中在人机界面的处理。CPLD内部框图如下:图2。5CPLD组成框图2.6电源部分电源部分输出5V,12V电压供给整个系统。电源采用桥式全波整流,大电容滤波,三端稳压芯片稳压的方法,产生各种直流电压。稳压芯片采用的是7805、7905、7812、7912,典型电路如下: 4路电压(5V、12V)输出前都经过了电感+电容组

24、合网络滤波,经实测,纹波均小于3mV。3、硬件设计时的抗干扰技术单片机系统在电子设计的各领域都有广泛的应用,但在某些控制系统中若存在强静电场(如大功率电动机、发电机、磁力开关、变压器),对单片机来讲都是致命的干扰源,尽管电路和程序设计得都很合理但单片机在上述环境下也很难能正常工作。另外来自系统内部和外部的各种干扰即使不会造成系统运行失常,也会引起数据采集测量误差加大等问题,所以在设计系统时,应事先充分考虑抗干扰性的要求,避免在设计完成后再去进行抗干扰的补救措施。单片机主要有以下几种干扰:(1).通道信号干扰;(2).电源干扰;(3).辐射干扰。硬件抗干扰技术是设计系统时首选的抗干扰措施,它能有

25、效抑制干扰源,阻断干扰传输通道。只要合理地布置与选择有关参数,硬件抗干扰措施就能抑制系统的绝大部分干扰。常用的硬件抗干扰措施有:接地技术、屏蔽技术、隔离技术及滤波技术等。3.1 数字系统与模拟系统单片机系统多为数字系统与模拟系统相互联系的混合系统,模拟信号与数字信号之间的最大差别在于用来代表这些信号的能量在频域中的分布情况,因此数字信号更容易进入不属于它们的电路中去,产生干扰。数字电路除输入引脚以外的其它引脚(电源脚、输出脚等)中输入外来信号很难影响电路的输出,而模拟电路很容易受到从芯片任何引脚输入的信号的影响(最主要的是输入信号和电源)。模拟信号畸变的主要原因是来自数字信号、电源线和接地平面

26、等其它信号源的电容耦合。对于最常见的数字信号(或时钟信号)与模拟信号的耦合,解决方法是:(1).减少信号间的电容及降低感应信号的能量。这就要求在电路设计时要使数字信号尽可能远离模拟信号;(2).不要使模拟信号路径与数字信号路径平行;(3).如果两个信号不得不交叉,应尽可能以90交叉;(4).可能时,在模拟信号与数字信号之间设置屏蔽;(5).使用多层电路时,不要使数字地或电源平面延伸到电路的模拟部分之下;(6).为减少数字信号中的高频能量,应尽可能的使用速度慢的逻辑系列;(7).避免在数字信号中有振荡的地方采用性能不好的传输线并尝试在其上增加电阻性负载以减少振荡。数字信号中最为典型的是时钟信号,

27、常用于产生单片机的工作时序脉冲,不仅是受噪声干扰最敏感的部位,同时也是单片机对外发射辐射干扰和引起内部干扰的噪声源。数字电路在时钟边沿存在着相当大的电源浪涌电流,浪涌电流与电源和地间的电阻共同作用会产生噪声,为使电源的浪涌电流尽可能小,应提供良好的旁路电容。多数模拟信号以地信号为准,如果模拟地有任何干扰,则必将叠加到模拟信号上,同样,与模拟器件相连的电源上的噪声也会影响正在处理的信号。使用单独的数字和模拟电源将有助于减少数字电路对模拟电路的干扰,在使用单独电源有困难的情况下,可以对模拟电源进行单独隔离。模拟电路除了要求电源本身具有较小噪声外,还要在较宽的范围内有较低的输出阻抗,这样在电源上某部

28、分产生的噪声就不会传输到其它的部分去。关于接地和电源干扰的抑制,在后文中会有详细介绍。另外,模拟电路越复杂,数字信号对其影响的机会就越多,可能时用数字电路代替模拟电路,使模拟部分尽可能简单。3.2电源的干扰与抑制(1).常见电源干扰的抑制在直流电源电路中由于单片机及一些存储器、接口电路等都是数字电路,所以在电源电路会产生峰值很大的尖峰电流,供电电源是外部瞬时脉冲窜入系统的主要通道,必须对其采取必要的抗干扰措施,如电源滤波、变压器屏蔽隔离等。设计系统时实用的一些措施有:采用0.010.1F的钽电容或电解电容加在电源线与地线之间,作为旁路电容滤除纹波;在数字芯片的电源输入处采用一个0.1F的磁片电

29、容和一个220F的电解电容并联形成电荷池接地,有效地抑制了数字芯片对电源的影响。电容连线靠近电源端并尽量粗短,一般直接用焊锡连接;加接由电容和电感组成的滤波网络进行滤波,以除去干扰。电感采用双线绕法如图A-1 所示;其中0.1 F电容用来抑制高频,100F电容用来抑制低频分量电感线圈可以抑制其变化的电流电压使电源具有一个稳 图A-1 电源滤波电路定的电压输出,使整个系统正常工作。(2).工频干扰的抑制在使用的市电供电电网中,正常的50Hz正弦波形上叠加有许多高电压的尖峰脉冲信号,这些信号会严重影响系统的正常工作,可产生较大干扰。抑制和消除工频干扰,常用的方法是在A/D转换电路之前加RC滤波器,

30、或者采用采样时间是50Hz的工频期整数倍的双积分式A/D转换器。硬件抗干扰措施无法完全抑制工频干扰时,可采用软件方法,具体实现已在算法章节中的“软件滤波”做过相应介绍。3.3接地技术接地的目的有三个:为各电路的工作提供基准电位、安全和抑制干扰。据此可将接地方式分为三类:安全接地,作为一种保护措施,防止强电的高电压、大容量危及人身安全,所有测试现场使用的电子设备的外壳、底盘、机座都应接地;工作接地,信号回路接于基准导体或基准电位点;屏蔽接地,电缆、变压器等屏蔽层的接地。屏蔽接地的具体注意事项在后文中会有所介绍。接地连接可能构成大量令人讨厌的干扰源,特别是大型电子设备流入大地的电流将造成各接地连接

31、之间的电位差,形成较强的干扰。同时适当的接地方法也是抑制干扰的主要方法或对系统的一种保护措施。一般在控制系统中有三类地:(1).弱信号地,或称为工作地,指系统中小信号回路、控制电路、逻辑电路、直流电流电路的地线。(2).功率地,指被控制或被捡测对象比如继电器、电磁阀等电器的地线。(3).机壳地,指系统中电机等构件的接地。这三类地线最好相应独立,同时系统接地常采用以下一些处理措施:(1)低频电路全部于一点接地;(2)高频电路就近分开接地;(3)数字地与模拟地分开走线,只在一点汇在一起,或用电感相连。电子设备种类繁多,频率从直流到微波、能量从微弱信号到大功率都有,它们的接地应该根据设备种类、性能、

32、测试要求等不同分别予以考虑。3.4隔离技术与屏蔽线的使用隔离技术是将易受干扰的部分和干扰源隔离开来,使两者之间仅保持信号关系,但不直接发生电的关系,以此达到抗干扰的目的。常用的隔离方法为光电隔离、变压器隔离、继电器隔离等。光电隔离是将两个电路的电信号隔离开,通过光耦合来传递信号。这样能保证信号的正确传输,又具有较高的电气隔离和抗干扰能力。光耦合不仅可以隔断噪声信号还可以实现电平转换;继电器隔离是利用继电器的线圈接受电气信号,利用触点发送和输出信号,从而实现抗干扰隔离;脉冲变压器可实现数字信号的隔离,但不能传递直流分量,常用于不要求传递直流分量的数字信号输入/输出系统中。屏蔽是指用屏蔽体把通过空

33、间进行电磁场耦合的部分隔离开来,阻断空间场的耦合通道。设计中最常使用的屏蔽技术是利用屏蔽线对传输的有效信号进行保护。屏蔽线有用于低频设备的单芯、两芯及多芯普通屏蔽线,双绞屏蔽线和用于高频设备的同轴电缆等。良好的屏蔽是和接地紧密相连的,由于其使用环境、条件及传输信号的不同,因此在实施屏蔽时的接地方式也不同。如果用于低频设备测试连接的屏蔽线的屏蔽层有两个以上接地点时,有可能通过屏蔽层构成噪声地线回路而产生噪声电流,从而在屏蔽芯线上产生噪声电压,所以应尽量采用单点接地方式。但即使采用单点接地,芯线与屏蔽层间的分布电容也将产生噪声耦合,从而形成意想不到的干扰或造成屏蔽防护作用的降低。进行信号屏蔽线连接

34、时应注意以下几个问题:(1).屏蔽层不能作为信号导线来使用;(2).屏蔽层一定要接地,浮空的屏蔽层往往会形成更严重的干扰;(3).屏蔽层接地点以接信号源的地为最好,接测量设备的地极易形成噪声干扰;(4).信号源浮空时,屏蔽层接测量设备的公共地;(5).信号源和测量设备均不接地时,屏蔽层接于信号源对地低阻抗端。对高频设备屏蔽线,不宜继续采用单点接地的方式,因为此时导线间的杂散电容的影响作用已不可忽视。两端接地是一种实用的接地方法,但对于长电缆传输信号的场合,应多点接地,保证两接地点间的电缆长度不超过信号波长的1/4,以减少接地阻抗和防止电缆传输中的反射干扰。系统设计中较为实用的方法是使用双绞线和

35、同轴线:模块与模块之间的信号线用同轴线,这样可以有效地屏蔽信号,防止信号与外部串扰;数字信号的走线则使用双绞线,其中一根用作信号传输线,另一根用作屏蔽线,可以有效地抑制高频毛刺。对于两组平行放置的双绞线,可采用彼此节距不同来抑制相互间的电磁感应干扰。3.5滤波技术滤波技术通常分为简单的滤波电容的添加和无源、有源滤波器的使用。在系统中,往往只需要在合适的位置添加合适的滤波电容就可以达到很好的抗干扰效果,这在接地技术和电源噪声抑制方法中已介绍过,具体的添加规律还要靠实验经验的积累。另外常用无源或有源滤波器电路来滤除电路中已存在噪声的干扰。无源滤波器通常由电阻、电容、电感组成,电容、电感滤波器常用于

36、抑制串模和共模干扰,防止串模和共模干扰关系到整个系统的稳定性。串模干扰是指叠加在被测信号上的干扰信号;共模干扰是指不同“地”之间存在共模电压,以及模拟信号系统对地存在漏阻抗。较图A-2 电容滤波 为典型的滤波电路如图A-2所示。这种结构能有效的抑制共模(由C5和C6完成)和串模干扰(由C3完电路成)。有源滤波器由电阻、电容和运算放大器组成。RC有源滤波器中的RC网络可以任意设定有源滤波器的谐振频率,网络的损耗由运算放大器补偿,而且滤波器可以做成高品质因数,并且当Q值一定时其谐振频率可调,因此为当以前使用较多的一种滤波器。基本滤波器的设计有现成的滤波器设计表可参照,操作比较方便,在此不再累述。3

37、.6常用芯片的抗干扰措施元器件及芯片的选择是系统抗干扰的重要环节,通常遵循降额设计的准则,并尽量在一个系统中减少元器件品种、型号。另外,不同元器件的抗干扰措施也不尽相同。(1).电容的选择与使用在元器件的使用时,尤其要注意电容的选择。电容按介质材料分可分为云母电容、纸介电容、陶瓷电容、塑料介质电容和电解电容。云母电容可用于中频、高频及要求耐压高的电路,但价格较贵;纸介电容适用于频率小于0.5MHz的电路中,但易损坏,使用年限短;陶瓷电容分低介电常数型(型)、高介电常数型(型)和半导体型(型)三种。、型和型特性基本相同,但它们没有温度系数线性和容差偏差小的特点,适宜做高频滤波电路。型主要用于对温

38、度稳定性要求比较高的电路,如晶振、A/D转换、V/F转换电路的积分电路等;塑料介质电容耐压高,稳定,可用于高、中频电路,但怕高热;电解电容中的铝电解电容适用于做电源滤波及低频旁路,钽电解电容在频率特性、漏电特性、温度特性方面优于铝电解电容,低温时应选钽电解电容。电容使用时必须注意极性,同时电解电容不宜使用在交流电路中,但可在脉冲电路中使用。电容使用不当也会成为噪声源,如在处理微小信号时电容会因为漏电,或由于某些原因(如温度变化)而形成新的噪声源。数字电路中存在的电源线的阻抗问题和数字电路受高速跳变的电流作用时产生的阻抗噪声都可由设置合适的去耦电容来解决。MCS-51系列单片机最高晶振为12MH

39、z,一个机器周期为1s,去耦电容可选0.01F;晶振低于6MHz时,去耦电容选用0.1F。(2).A/D、D/A转换器的干扰抑制A/D、D/A转换器是系统与外部信息的交换通道,又是数字量与模拟量共存的部位,根据它们所处位置及功能特点,主要受到的干扰及其改善措施为: 来自输入/输出电路的干扰。A/D转换器的输入模拟量一般来自传感器或放大器的输出,因此关于测量单元的抗干扰技术,如采用差动式测量放大器、输入滤波、隔离放大器、电压/电流变送器等,对A/D装置的抗干扰是十分必要的。应将输出信号就近进行A/D转换,用数字量进行信息传输将增强系统的抗干扰性能。D/A转换器的输出信号一般很强,不易受外界干扰,

40、但往往会形成对系统的干扰。因此,应将模拟信号或数字信号进行隔离。 来自供电电源的干扰。通其他芯片采取同样的抗干扰措施,具体方法前文已介绍。 数字信号对模拟信号的窜扰。A/D、D/A转换电路是数字信息与模拟信息共存的区域,同样要注意避免数字信息对模拟信息的窜扰。 A/D转换中的混叠噪声。模拟信号是非有限频带,无论采样频率取多高,各个频谱间的重叠都是不可避免的。因此,在把实际信号作为频带有限信号处理时,应根据采样定理,结合对象及精度要求,确定系统的采样频率,使混叠噪声不影响系统的性能。 A/D转换中的量化噪声。增加位数N和提高采样频率就可以有效的降低量化噪声。(3).感性负载的噪声抑制在有继电器、

41、接触器、电磁阀等感性负载电路中,当电路断开时会产生很高的电动势,既会在线路上造成电磁感应干扰,又会形成电弧干扰。抑制这种瞬变噪声干扰的方法是在线圈两端并联吸收装置,如并联电阻、并联放电二极管、并联电阻和二极管、并联RC支路、并联双向二极管或稳压管等。另外,值得提醒的是,固态继电器内部一般有510mA的漏电流,不宜用它直接控制很小功率的负载。3.7自激振荡及其消除方法自激振荡现象在分立元件电路中比集成电路中更为普遍,它是由于放大器中的正反馈造成的。正反馈往往是由于安装、布线不合理等因素造成的,可通过合理布线、在放大器的一级的基极对地及基极对集电极间并接一个小电容(称中和电容),或改善电源、在放大

42、器各级之间加上“去耦电路”,以消除后级通过电源与前级之间的耦合形成正反馈,或通过尽可能缩短各接地线之间的距离形成自激振荡,或通过晶体管内部反馈形成自激振荡。7、2).滤波电路实现虽然心电信号的最低可能频率成分只达到0.5Hz(相应于心脏搏动30次/分),但为抑制极化电流,心电信号放大电路的低频截止频率必须达到心电信号的低频截止频率的1/10,即0.05Hz。可用简单RC高通电路实现。根据RC电路的传输函数,截止频率为,理论设计值为、,电路如图6-31所示。由于电容C1漏电会引起的漂移,所以不应选用电解电容,而应使用介质特性较好的钽电容。 图6-31 RC高通100Hz和500Hz的低通滤波器,

43、采用专用滤波器设计软件Filter Wiz Pro设计二阶贝塞尔滤波器,电路分别如图6-32和图6-33所示: 图6-32 100Hz低通滤波器 图6-33 500Hz低通滤波器为了达到任务要求的带外衰减速率,每个滤波网络均使用两级相同的滤波电路级联,组成4阶贝塞尔滤波器。系统中还需用到3KHz的低通滤波器模拟电话通道,为避免使传输的信号失真,仍采用贝塞尔滤波器,设计电路及参数如图6-34所示。设计的电路参数分别为: 图6-34 3KHz低通滤波器100Hz低通:,;500Hz低通:,;3KHz低通:,。(3).低澡声电源设计降低稳压源的噪声是减少50Hz工频干扰的主要措施,用普通集成三端稳压

44、电路直接构成稳压电源难以达到“3mV(峰-峰值)”噪声要求的,而需要在集成三端稳压电路外增加反馈环节,才能进一步抑制噪声。采用电源芯片7812、7912提供12V直流电压,正、负电源电路分别如图6-35、图6-36所示。 图6-35 12V电源电路 图6-36 12V电源电路此电源电路消除纹波的原理是:经过C4、C9隔直耦合,将输出电压信号中的纹波滤出。纹波经反相放大(放大倍数为1)后作为电源芯片内部参考地,以使输出电平趋于稳定。电容C5、C10提供高频通路。3.8运放参数测量介绍通常运放的参数测量是根据运放各种参数的定义将待测运放接成共模或差模输入方式来实现的,测试电路因测量参数的不同而异,

45、要实现不同参数的测量,测试过程复杂。另有一种采用“被测器件辅助运放”模式的运放参数测量电路,籍被测运放和辅助运放以构成稳定的负反馈网络,从而使输出电压嵌位于预置电压,将小电压、小电流转换为伏特级的电压进行测试。以下给出的图形为“被测器件辅助运放”模式的运放参数测量原理电路图。(1).利用辅助运放测量VIO、IIO的测试原理图如图3-2所示。图3-2 辅助运放法测VIO、IIO原理图此电路的测量原理为: 闭合、,测得辅助运放的输出电压为VL0,则有:。 闭合、,测得辅助运放的输出电压为VL0;断开、,测得辅助运放的输出电压为,则有:。(2).利用辅助运放测量AVD的测试原理图如图3-3所示。图3

46、-3 辅助运放法测AVD原理图设信号源输出电压为VS,测得辅助运放输出电压为VL0,则有(3).利用辅助运放测量KCMR的测试原理图如图3-4所示。图3-4 辅助运放法测KCMR原理图设信号源输出电压为VS,测得辅助运放输出电压为VL0,则有采用辅助放大器与被测器件构成闭合环路测试方法,即零放大器的测量方法,基本的测试原理按GB3442-82中规定的运算放大器测试原理,可以提高器件参数测试的精确度及可靠性。温度检测系统多有声光报警功能,当检测温度超过上下限时,进行声光提示。本系统在从机和主机部分均设计了报警电路。各从机的报警上下限由主机预置,从机实时监测的过程中,一旦发现检测温度值连续超出阈值

47、范围,便启动自身报警电路,同时向主控机发送报警信号。4.软件设计鉴于单片机技术比较成熟,且开发过程中可以利用的资源和工具丰富、价格便宜、成本低。故设计用C语言对其编程并烧录到芯片内部,C语言表达和运算能力比较强,且具有很好的可移植性和硬件控制能力。采用KEIL51的C51编译器。它支持众多不同公司的51构架的芯片,集编辑、编译、仿真等于一体,同时还支持PLM,汇编和C语言的程序设计,它的界面和常用的微软VC+的界面相似,界面友好,易学易用,在调试程序,软件仿真方面也有很强的功能。3.1 软件设计和硬件设计的关系硬件设计和软件设计是电子设计中必不可少的内容,为了满足设计的功能和指标的要求,我们必

48、须在开始设计的时候就要考虑到硬件和软件的协调;不然不是造成硬件资源的浪费就是增加软件实现时困难和复杂程度,甚至造成信号的断层,即使硬件和软件能单独使用,却不能使它们组成的系统工作。故在设计的过程中必须考虑软硬件的处理能力以及它们的接口是否兼容,实现软硬件的信号过渡。其次设计时硬件之间应尽可能减小联系,只要把必要的信号线相连则可。这样做的优点是:首先,调试时可以减少很多不必要的麻烦,因为电路是相对独立的,故在调整电路参数值时其影响和干扰就小,在满足发射和接收模块的要求后可单独对控制模块进行调整;再者,当出现问题时检查电路就容易缩小问题的范围,使得排错效率高。由于硬件的分离,在软件的调试时就可以单

49、独针对控制模块。4.1软件流程图初始化结束开始电机驱动发送超声发送否角度计算数据处理收到否显示图3。2软件流程图4.2程序列单#include #include #include #define uchar unsigned char#define RDLCD XBYTE0xbfff /*液晶显示器的地址口*/#define WRCLCD XBYTE0x9fff#define WRDLCD XBYTE0xdfffsbit aflag=ACC7;sbit p1_0=P10;sbit p2_0=P21;sbit p3_2=P32; /*变量定义与说明*/uchar i,a=0;bit bflag=

50、0,cflag=0;unsigned long c=0,e;unsigned long dertat,d;uchar idata s_b10=0x30,0x31,0x32,0x33,0x34,0x35,0x36,0x37,0x38,0x39; /*0-9的显示代码数组*/void msec (unsigned int x) /*延时子程序*/ uchar j; while( (x-)!=0) for( j=0;j125;j+) ; void wait ( void) /*液晶显示器的读忙子程序*/ do ACC=RDLCD; while(aflag=1);void init_lcd(void)

51、 /*液晶显示器的初始化程序*/ unsigned int x=15;uchar j; while ( (x-)!=0) for(j=0;j125;j+) ; WRCLCD=0x38; x=5; while ( (x-)!=0) for(j=0;j125;j+) ; WRCLCD=0x38; x=5; while ( (x-)!=0) for(j=0;j125;j+) ; WRCLCD=0x38;wait(); /*置功能:2行,5*7字符*/ WRCLCD=0x06;wait(); /*置输入模式:地址增量,显示屏不移动*/ WRCLCD=0x0f;wait(); /*显示开,显示光标,光标

52、闪烁*/ WRCLCD=0x01;wait(); /*清显示屏*/ WRCLCD=0x80;wait(); /*置显示初地址*/void d_char(uchar p) /*显示一个字符的子程序*/ WRDLCD=p; wait();void timer1(void) interrupt 3 using 3 /*外部中断的子程序*/ TH1=0x3c; TL1=0xaf; a+; c+; switch(a) case 1: P1=0x80;bflag=1;cflag=1; break; /*步进电机的时序,通过单片机来控制*/ case 2: P1=0xa0;bflag=1;cflag=1;

53、break; case 3: P1=0x20;bflag=1;cflag=1; break; case 4: P1=0x60;bflag=1;cflag=1; break; case 5: P1=0x40;bflag=1;cflag=1; break; case 6: P1=0x50;bflag=1;cflag=1; break; case 7: P1=0x10;bflag=1;cflag=1; break; case 8: P1=0x90;bflag=1;a=0;cflag=1; break; void main(void) /*主程序*/ uchar k; p2_0=1; p1_0=0;

54、TMOD=0x11;/*定时器设置:工作方式一*/ while (p2_0) ;/*查询键有没有被按下*/ EA=1;/*CPU开中断*/ ET1=1;/*定时器开中断*/ TH1=0x3c;/*定时器装初值*/ TL1=0xaf; TR1=1; for( ; ; ) if(cflag) TH0=0; TL0=0; p1_0=1; TR0=1; for( k=0;k25;k+) /*产生脉冲群*/ ; p1_0=0;bflag=0; for(k=0;k20;k+)/*盲区延时*/ ; while ( p3_2&(bflag) ) ;/*用查询方式查询有没有收到障碍物反射的回波*/ if (bf

55、lag=1) bflag=0 ; else TR0=0; /*查询到有回波,距离的数据处理和显示*/ dertat=TH0*256+TL0; d=(dertat*340)/2000; init_lcd(); i=d/1000; d_char(s_bi); i=(d%1000)/100;d_char(s_bi); i=(d%1000)%100)/10;d_char(s_bi); WRDLCD=0x2e;wait(); i=(d%1000)%100)%10;d_char(s_bi); WRDLCD=0x63;wait(); WRDLCD=0x6d;wait(); WRCLCD=0xc0;wait();/*显示单位*/ /*角度的数据处理和显示*/ e=c*9; i=e/1000;d_char(s_bi); i=(e%1000)/100;d_char(s_bi); i=(e%1000)%100)/10;d_char(s_bi); WRDLCD=0x2e;wait(); i=(e%1000)%100)%10;d_char(s_bi); WRDLCD=0xf2;wait(); cflag=0; if(c=400) TR1=0;EA=0; (void(code*)(void) 0x0000) ();/*等待超时,软件复位*/ 5软件使用介绍WAVE6000软件在以前版

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!