集成电路设计复习题

上传人:xian****hua 文档编号:155949870 上传时间:2022-09-25 格式:DOC 页数:6 大小:42.51KB
收藏 版权申诉 举报 下载
集成电路设计复习题_第1页
第1页 / 共6页
集成电路设计复习题_第2页
第2页 / 共6页
集成电路设计复习题_第3页
第3页 / 共6页
资源描述:

《集成电路设计复习题》由会员分享,可在线阅读,更多相关《集成电路设计复习题(6页珍藏版)》请在装配图网上搜索。

1、集成电路设计复习题微电子导论复习题第一章 绪论1 画出集成电路设计与制造的主要流程框架。2 集成电路分类情况如何?第二章 半导体物理和器件物理基础1 什么是半导体?特点、常用半导体材料2 掺杂、施主/受主、P型/N型半导体。半导体中的载流子、迁移率3 PN结,为什么会单向导电,正向特性、反向特性,PN结击穿有几种4 双极晶体管工作原理,基本结构,直流特性5 MOS晶体管基本结构、工作原理、I-V方程、三个工作区的特性,MOS晶体管分类第三章 大规模集成电路基础1 集成电路制造流程、特征尺寸2 CMOS集成电路特点3 MOS开关、CMOS传输门特性4 CMOS反相器特性(电压传输特性、PMOS和

2、NMOS工作区域) 5 CMOS组合逻辑:基本逻辑门、复合门、反相器、二输入与非、或非门7 闩锁效应起因?第四章 集成电路制造工艺1 集成电路工艺主要分几大类,各包括哪些主要工艺,并简述各工艺的主要作用2 简述光刻的工艺过程第五章 集成电路设计1 层次化、结构化设计概念,集成电路设计域和设计层次2 什么是集成电路设计? 集成电路设计流程。(三个设计步骤:系统功能设计 逻辑和电路设计 版图设计)3 模拟电路和数字电路设计各自的特点和流程4 版图验证和检查包括哪些内容?如何实现?5 版图设计规则的概念,主要内容以及表示方法。为什么需要指定版图设计规则?6 集成电路设计方法分类? (全定制、半定制、

3、PLD)7 标准单元/门阵列的概念,优点/缺点,设计流程8 PLD设计方法的特点,FPGA/CPLD的概念9 试述门阵列和标准单元设计方法的概念和它们之间的异同点。10 标准单元库中的单元的主要描述形式有哪些?分别在IC设计的什么阶段应用?11 集成电路的可测性设计是指什么?Soc设计复习题1. 什么是SoC?2. SoC设计的发展趋势及面临的挑战?3. SoC设计的特点?4. SoC设计与传统的ASIC设计最大的不同是什么?5. 什么是软硬件协同设计?6. 形式验证(Formal Verification)的含义?7. 什么是DFT(Design for Test)?8. 常用的可测性设计方

4、法有哪些?9. 物理验证包括哪些项? 10. SoC中常用的总线有哪些? 各有什么特点?11. IP的基本概念和IP分类12. IP设计流程13. IP的验证14. IP复用技术面临的挑战15. 简要说明什么是Synopsys DesignWare?16. 什么是可综合RTL代码,下面的设计是否可综合,为什么? Initial Begin #10 data_out = 8h55 ; End17. 什么是同步电路,什么是异步电路,各有什么特点?18. 异步电路之间如何实现数据传输?19. 逻辑综合的概念。20. 物理综合的概念。21. 常用的综合器工具有哪些?22. 什么是触发器的建立时间(Se

5、tup Time),试画图进行说明。23. 什么是触发器的保持时间(Hold Time),试画图进行说明。24. 什么是输入延时,什么是输出延时,试画图进行说明。25. 什么是静态时序? 同步电路中有哪几类时序路径,试画图进行说明。26. 什么是时间裕量(Slack),试画图进行说明。27. 什么是时钟偏斜(Clock Skew),试画图进行说明。28. SoC设计中常用的综合策略。 29. 设计工艺库如何获取,一般都包含什么信息? 30. 什么是设计约束(脚本)?环境约束条件和设计的约束条件有何不同?31. 为什么要设置时钟树? 时钟树的延时大和小,会对电路有影响吗?32. 什么是验证,什么

6、是测试,两者有何区别?33. 功能验证的形式是什么?功能验证方法有哪些?34. 为什么要求仿真验证自动化?35. 形式验证和基于断言的验证?36. 覆盖率的概念是什么?37. 试画图简要说明扫描测试原理。 38. 为什么需要低功耗设计?39. 功耗的类型?40. 低功耗设计方法?41. 静电冲击(ESD,Electrostatic Discharge)的概念。42. I/O单元特点,I/O环的设计?43. 芯片封装的几种形式?电路设计练习题1、 串并转换电路设计(S2P) 要求:并行数据流为8位,转换时钟不会冲突。2、 并串转换电路设计(P2S) 要求:并行数据流为8位,转换时钟不会冲突。3、

7、 串行数据流特征数据检测电路设计要求:能够检测到串行数据流中的10101值,并给出指示信号。4、 十字路口简单交通灯控制器设计 要求:每个方向具有红灯、绿灯和黄灯3种,具有数码管倒计时显示功能。5、 一个简单自定义ALU设计 要求:设计一个具有4种算术运算,3种逻辑运算,2种移位操作的ALU单元。6、 I2C总线接口控制器设计要求: I2C总线有SDA和SCL两根信号线,设计一个无子地址的接口控制器。7、 一个简单UART发送控制器设计要求:具有发送数据缓冲器,一个缓冲器空满状态指示信号。8、 一个简单UART接收控制器设计要求:具有接收数据缓冲器,以及缓冲器空满和溢出两个状态指示信号。9、

8、简单自定义定时控制器设计要求:定时器为16位,并且具有23种定时输出形式。10、 常用异步fifo电路设计 要求:fifo深度为16,宽度为8位,具有空/满状态指示信号。VLSI 复习题与思考题1. 为什么CMOS(含BiCMOS)工艺成为VLSI主流工艺?其最大特点是什么?在微电子技术领域,集成电路的制造有两个主要的实现技术:双极技术与MOS技术。CMOS以其结构简单,集成度高,耗散功率小等优点,成为当今VLSI制造的主流技术。其最大特点是耗散功率小。2. 双极工艺还有用武之地吗?双极技术是以NPN与PNP晶体管为基本元件,融合其他的集成元件构造集成电路的技术方法。双极器件以其速度高和驱动能

9、力大,高频、低噪声等优良特性,在集成电路的设计制造领域,尤其是模拟集成电路的设计制造领域,占有一席之地。但双极器件的耗散功率比较大,限制了它在VLSI系统中的应用。3. 以你的体会,你认为集成电路设计师应具备哪些基本技术基础?设计者必须具备下列的技术基础:电路与逻辑没计技术基础,器件与工艺技术基础,版图设计技术基础和集成电路计算机辅助设计技术基础。除此之外,设计者还应具备对电路、逻辑、器件、工艺和版图的分析能力。4. 简要说明描述集成电路技术水平5大指标的含义。当前国内和国际上集成电路产业在特征尺寸及晶园尺寸方面各达到什么水平?集成度是以一个IC芯片所包含的元件(晶体管或门/数)来衡量,特征尺

10、寸特征尺寸定义为器件中最小线条宽度(对MOS器件而言,通常指器件栅电极所决定的沟道长度的几何长度),芯片面积大小, 晶片直径大小,封装引脚数多少。国内:0.25m, 8英寸(20cm),国际:0.13m, 12英寸(30cm)。5. 微米级、亚微米级、深亚微米级各指什么尺寸,举例说明之。微米级(micro-M)(3m、2m1985年、1.5m、1m1989年)、亚微米级(submicro-SM)(0.7m、0.5m1993年)发展到深亚微米(deep submicro-DSM)(0.35m1997年、0.25m、0.18m2001年、0.13m),超深亚微米或亚0.1m2005年(very d

11、eep submicro-VDSM )。6. 简要说明深亚微米电路设计对设计流程的影响。在深亚微米级电路设计中的一个突出矛盾是时序问题。到了深亚微米水平,互连线的延迟将超过门延迟。要求在逻辑设计过程中引入物理设计阶段的数据;如何把布局布线工具、寄生参数提取工具的时序分析统计工具集成到逻辑综合中去。还有一个功耗问题必须考虑。总之是要求将前端设计和后端设计及测试融为一体。 7. 为什么说嵌入式SoC的设计代表了高科技的设计方法和软硬件系统?嵌入式SoC 是集系统性能于一块芯片上的系统组芯片,它通常含有一个或多个微处理器IP核(CPU),有时再增加一个或多个DSP IP核,以及多个或几十个的外围特殊

12、功能模块,和一定规模的存储器(RAM, ROM)等。针对应用所需的性能将其设计集成在芯片上,而成为系统操作芯片。芯片的规模常常可以达到数百万门甚至上千万门以上,所以嵌入式SoC是满足应用的系统组成的集成电路产品。嵌入式SoC一方面要满足复杂的系统性能的需要,另一方面也要满足市场上日新月异的对新产品的需求,因此嵌入式SoC的设计代表了高科技的设计方法和软硬件系统8. IP的基本定义是什么?IP核 即知识产权产品是在集成电路设计中,IP特指可以通过知识产权贸易,在各设计公司间流通的完成特定功能的电路模块。9. 分别说明硬IP、软IP、固IP的主要特征。硬IP,也是针对某一工艺完成的版图设计,并经过

13、后仿真和投片验证。硬核已完成了全部的前端和后端设计,制造也已确定。它的特点是灵活性最小,知识产权的保护比较简单。软IP是包括逻辑描述、网表和不能物理实现的用于测试的文档(test bench file) 方式存在的IP,是一段可综合的高级语言(用C语言或硬件描述语言完成)源程序,用于功能仿真。 在进行电路设计时,可以改动IP的内部代码以适应不同的电路需要,或者IP本身就带有各种可设置的参数来调整具体的功能。固核是一种介于软核和硬核之间的IP,通常以RTL代码和对应具体工艺网表的混合形式提供。固核既不是独立的,也不是固定的,它可根据用户要求进行修改,使它适合用于某种可实现的工艺过程。固核允许用户

14、重新确定关键的性能参数。10. 嵌入式IP核与通用IP模块各有什么特点?嵌入式IP核指可编程IP模块,主要是CPU与DSP,通用模块则包括存储器、存储控制器,通用接口电路,通用功能模块等。IP模块的这种划分,通常是基于商业方面的考虑,按业界的一般观点,提供嵌入式IP核的供应商有比较大的利润空间,而且生存环境较好。11. 分别说明CPU核与DSP核,存储器核、存储控制器核,通用接口电路核,通用功能模块核各属于哪种类型?CPU核与DSP核,存储器核-硬IP;存储控制器核,通用接口电路核,通用功能模块核-软IP。12. 虚拟插座接口联盟想解决什么问题?1)从IP模块的提供者来看,问题是如何设计商用I

15、P,如何进行恰当的描述使得既能方便使用者进行再利用又不暴露知识产权的秘密,以及如何对IP模块进行维护,使它适应技术的发展;2)从IP模块的使用方面来看,问题是通过什么渠道可以找到所需要的IP模块,如何对它进行评估,验证,如何能够购买到。如何正确使用以及许多标准化的问题。13. 什么是摩尔定律?集成电路的集成度大约每三年就要翻两番,集成电路的特征尺寸则是每三年以0.7的比率缩小。14. 说明如下30个英文缩写字的含义(不要求写英文全称):MOSFET 金属-氧化物-半导体场效应晶体管IC 集成电路LSI 大规模集成电路VLSI 超大规模集成电路ULSI 特大规模集成集成电路GSI 巨大规模集成集成电路SDM 深亚微米VSDM 超深亚微米SoC 系统集成或片上系统IP 知权模块I/O 输入/输出CPU 中央处理器DSP 数字信号处理器BIST 内建自测试CMOS 互补金属-氧化物-半导体集成电路BiCMOS 双极-互补金属-氧化物-半导体兼容集成电路MEMS 微机电系统 MOEMS 微光机电系统BioMEMS 生物微机电系统VSIA 虚拟插座接口联盟CAD 计算机辅助设计CAE 计算机辅助工程EDA 电子设计自动化VHDL 硬件描述语言ASIC 面向特定应用的集成电路ASSP 标准专用电路CIF 由美国加州工学院开发的版图交换格式PG 图形发生器RTL 寄存器传输级

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!