数字电子技术红绿灯课程设计

上传人:无*** 文档编号:154073117 上传时间:2022-09-20 格式:DOC 页数:45 大小:3.85MB
收藏 版权申诉 举报 下载
数字电子技术红绿灯课程设计_第1页
第1页 / 共45页
数字电子技术红绿灯课程设计_第2页
第2页 / 共45页
数字电子技术红绿灯课程设计_第3页
第3页 / 共45页
资源描述:

《数字电子技术红绿灯课程设计》由会员分享,可在线阅读,更多相关《数字电子技术红绿灯课程设计(45页珍藏版)》请在装配图网上搜索。

1、 “数字电子技术”课程设计指导书 数字电子技术课程设计指导书山东工商学院信息与电子工程学院2006年2月目录数字电子技术课程设计概述 2课 程 设 计 课 题课题一 数字电子钟逻辑电路设计 6课题二 智力竞赛抢答器逻辑电路设计13课题三 交通灯控制逻辑电路设计 19 课题四 汽车尾灯控制电路 28课题五 数字温度计 30 课题六 多路防盗报警电路设计 33课题七 电梯控制电路设计 35课题八 倒计时计时器 35设计举例:出租车计费器 36数字电子技术课程设计概述一、 本课程设计的地位和作用数字电子技术课程设计是电子技术基础教学中的一个实践环节,它使学生自己通过设计和搭建一个实用电子产品雏形,巩

2、固和加深在数字电子技术课程中的理论基础和实验中的基本技能,训练电子产品制作时的动手能力。通过该课程设计,设计出符合任务要求的电路,掌握通用电子电路的一般设计方法和步骤,训练并提高学生在文献检索、资料利用、方案比较和元器件选择等方面的综合能力,同时为毕业设计和毕业以后从事电子技术方面的科研和开发打下一定的基础。 二、课程设计的目的和要求1. 能够较全面地巩固和应用“数字电子技术”课程中所学的基本理论和基本方法,并初步掌握小型数字系统设计的基本方法。2. 能合理、灵活地应用各种标准集成电路(SSI、MSI、LSI等)器件实现规定的数字系统。3. 培养独立思考、独立准备资料、独立设计规定功能的数字系

3、统的能力。4.培养独立进行实验,包括电路布局、安装、调试和排除故障的能力。5.培养书写综合设计实验报告的能力。三、课程设计的基本要求根据设计任务,从选择设计方案开始,进行电路设计;选择合适的器件,画出设计电路图;通过安装、调试,直至实现任务要求的全部功能。对电路要求布局合理,走线清晰,工作可靠,经验收合格后,写出完整的课程设计报告。四、课程设计的具体步骤 电子电路的一般设计方法和步骤是:分析设计任务和性能指标,选择总体方案,设计单元电路,选择器件,计算参数,画总体电路图。进行仿真试验和性能测试。实际设计过程中往往反复进行以上各步骤,才能达到设计要求,需要灵活掌握。 1. 总体方案选择 设计电路

4、的第一步就是选择总体方案,就是根据提出的设计任务要求及性能指标,用具有一定功能的若干单元电路组成一个整体,来实现设计任务提出的各项要求和技术指标。设计过程中,往往有多种方案可以选择,应针对任务要求,查阅资料,权衡各方案的优缺点,从中选优。 2. 单元电路的设计 2.1 设计单元电路的一般方法和步骤 A.根据设计要求和选定的总体方案原理图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标。 B.拟定出各单元电路的要求后,对它们进行设计。 C. 单元电路设计应采用符合的电平标准。 2.2 元器件的选择 针对数字电路的课程设计,在搭建单元电路时,对于特定功能单元选择主要集成块的余地

5、较小。比如时钟电路选555,转换电路选0809,译码及显示驱动电路也都相对固定。但由于电路参数要求不同,还需要通过选择参数来确定集成块型号。一个电路设计,单用数字电路课程内容是不够的,往往同时掺有线性电路元件和集成块,因此还需对相应内容熟悉,比如运算放大器的种类和基本用法,集成比较器和集成稳压电路的特性和用法。总之,构建单元电路时,选择器件的电平标准和电流特性很重要。普通的门电路、时序逻辑电路、组合逻辑电路、脉冲产生电路、数模和模数转换电路、采样和存储电路等,参数选择恰当可以发挥其性能并节约设计成本。 单元电路设计过程中,阻容元件的选择也很关键。它们的种类繁多,性能各异。优选的电阻和电容辅助于

6、数字电路的设计可以使其功能多样化、完整化。 3. 单元电路调整与连调 数字电路设计以逻辑关系为主体,因此各单元电路的输入输出逻辑关系与它们之间的正确传递决定了设计内容的成败。具体步骤要求每一个单元电路都须经过调整,有条件情况下可应用逻辑分析仪进行测试,确保单元正确。各单元之间的匹配连接是设计的最后步骤,主要包含两方面,分别是电平匹配和驱动电流匹配。它也是整个设计成功的关键一步。 4. 衡量设计的标准工作稳定可靠;能达到预定的性能指标,并留有适当的余量;电路简单,成本低,功耗低;器件数目少,集成体积小,便于生产和维护。五、课程设计报告要求课程设计报告应包括以下内容:1. 对设计课题进行简要阐述。

7、2. 设计任务及其具体要求。3. 总体设计方案方框图及各部分电路设计(含各部分电路功能、输入信号、输出信号、电路设计原理图及其功能阐述、所选用的集成电路器件等)。4. 整机电路图(电路图应用标准逻辑符号绘制,电路图中应标明接线引出端名称、元件编号等)。5. 器件清单。6. 调试结果记录。7. 总结与体会。课程设计报告应内容完整、字迹工整、图表整齐、数据详实。课 程 设 计 课 题课题一 数字电子钟逻辑电路设计一、 简述数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车

8、站、码头、机场等公共场所的大型数显电子钟。数字电子钟的电路组成方框图如图1.1所示。图1.1 数字电子钟框图由图1.1可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分等。二、设计任务和要求用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下:1. 由晶振电路产生1Hz标准秒信号。2. 秒、分为0059六十进制计数器。3. 时为0023二十四进制计数器。4. 周显示从1日为七进制计数器。5. 可手动校时:能分别进行秒、分、时、日的校时。只要将开关置于手动位置,

9、可分别对秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。6. 整点报时。整点报时电路要求在每个整点前呜叫五次低音(500Hz),整点时再呜叫一次高音(1000Hz)。三、可选用器材1. 通用实验底板2. 直流稳压电源3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路4. 晶振:32768 Hz5. 电容:100F/16V、22pF、322pF之间6. 电阻:200、10K、22M7. 电位器:2.2K或4.7K8. 数显:共阴显示器LC5011-119. 开关:单次按键10. 三极管:805011. 喇叭:1 W /4,8四、设计方案提示根据设计任务和要

10、求,对照数字电子钟的框图,可以分以下几部分进行模块化设计。1. 秒脉冲发生器脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz的秒脉冲。如晶振为32768 Hz,通过15次二分频后可获得1Hz的脉冲输出,电路图如图1.2所示。图1.2 秒脉冲发生器2. 计数译码显示秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示0059,它们的个位为十进制,十位为六进制。时为二十四进制计数器,显示为0023,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。周为七进制数,按人们

11、一般的概念一周的显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器的状态表来进行,如表1.1所示。按表1.1状态表不难设计出“日”计数器的电路(日用数字8代替)。所有计数器的译码显示均采用BCD七段译码器,显示器采用共阴或共阳的显示器。Q4 Q3 Q2 Q1显示1 0 0 0日0 0 0 110 0 1 020 0 1 130 1 0 040 1 0 150 1 1 06表1.1 状态表3. 校时电路在刚刚开机接通电源时,由于日、时、分、秒为任意值,所以,需要进行调整。置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入。4.

12、 整点报时电路当时计数器在每次计到整点前六秒时,需要报时,这可用译码电路来解决。即当分为59时,则秒在计数计到54时,输出一延时高电平去打开低音与门,使报时声按500Hz频率呜叫5声,直至秒计数器计到58时,结束这高电平脉冲;当秒计数到59时,则去驱动高音1KHz频率输出而鸣叫1声。五、参考电路数字电子钟逻辑电路参考图如图1.3所示。图1.3 数字电子钟逻辑电路参考图六、参考电路简要说明1. 秒脉冲电路由晶振32768Hz经14分频器分频为2Hz,再经一次分频,即得1Hz标准秒脉冲,供时钟计数器用。2. 单次脉冲、连续脉冲这主要是供手动校时用。若开关K1打在单次端,要调整日、时、分、秒即可按单

13、次脉冲进行校正。如K1在单次,K2在手动,则此时按动单次脉冲键,使周计数器从星期1到星期日计数。若开关K1处于连续端,则校正时,不需要按动单次脉冲,即可进行校正。单次、连续脉冲均由门电路构成。3. 秒、分、时、日计数器这一部分电路均使用中规模集成电路74LS161实现秒、分、时的计数,其中秒、分为六十进制,时为二十四进制。从图3中可以发现秒、分两组计数器完全相同。当计数到59时,再来一个脉冲变成00,然后再重新开始计数。图中利用“异步清零”反馈到/CR端,而实现个位十进制,十位六进制的功能。时计数器为二十四进制,当开始计数时,个位按十进制计数,当计到23时,这时再来一个脉冲,应该回到“零”。所

14、以,这里必须使个位既能完成十进制计数,又能在高低位满足“23”这一数字后,时计数器清零,图中采用了十位的“2”和个位的“4”相与非后再清零。对于日计数器电路,它是由四个D触发器组成的(也可以用JK触发器),其逻辑功能满足了表1,即当计数器计到6后,再来一个脉冲,用7的瞬态将Q4、Q3、Q2、Q1置数,即为“1000”,从而显示“日”(8)。4译码、显示译码、显示很简单,采用共阴极LED数码管LC5011-11和译码器74LS248,当然也可用共阳数码管和译码器。5. 整点报时当计数到整点的前6秒钟,此时应该准备报时。图3中,当分计到59分时,将分触发器QH置1,而等到秒计数到54秒时,将秒触发

15、器QL置1,然后通过QL与QH相与后再和1s标准秒信号相与而去控制低音喇叭呜叫,直至59秒时,产生一个复位信号,使QL清0,停止低音呜叫,同时59秒信号的反相又和QH相与后去控制高音喇叭呜叫。当计到分、秒从59:5900:00时,呜叫结束,完成整点报时。6. 呜叫电路呜叫电路由高、低两种频率通过或门去驱动一个三极管,带动喇叭呜叫。1KHz和500Hz从晶振分频器近似获得。如图中CD4060分频器的输出端Q5和Q6。Q5输出频率为1024Hz,Q6输出频率为512Hz。课题二 智力竞赛抢答器逻辑电路设计一、 简述智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极

16、大兴趣,并且能在极短的时间内,使人们增加一些科学知识和生活知识。实际进行智力竞赛时,一般分为若干组,各组对主持人提出的问题,分必答和抢答两种。必答有时间限制,到时要告警,回答问题正确与否,由主持人判别加分还是减分,成绩评定结果要用电子装置显示。抢答时,要判定哪组优先,并予以指示和鸣叫。因此,要完成以上智力竞赛抢答器逻辑功能的数字逻辑控制系统,至少应包括以下几个部分。1. 计分、显示部分;2. 判别选组控制部分;3. 定时电路和音响部分。二、设计任务和要求用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。2.

17、 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。回答问题的时间应可调整,分别为10s、20s、50s、60s或稍长些。4. 主持人应有复位按钮。抢答和必答定时应有手动控制。三、可选用器材1. 通用实验

18、底板2. 直流稳压电源3. 集成电路:74LS190、74LS48、CD4043、74LS112及门电路4. 显示器:LCD5011-11、CL002、发光二极管5. 拨码开关(8421码)6. 阻容元件、电位器7. 喇叭、开关等四、设计方案提示1. 复位和抢答开关输入防抖电路,可采用加吸收电容或RS触发器电路来完成。2. 判别选组实现的方法可以用触发器和组合电路完成,也可用一些特殊器件组成。例如用MC14599或CD4099八路可寻址输出锁存器来实现。3. 计数显示电路可用8421码拨码开关译码电路显示。8421码拨码开关能进行加或减计数。也可用加/减计数器(如74LS193)来组成。译码、

19、显示用共阴或共阳组件,也可用CL002译码显示器。4. 定时电路。当有开关启动定时器时,使定时计数器按减计数或加计数方式进行工作,并使一指示灯亮,当定时时间到,输出一脉冲,驱动音响电路工作,并使指示灯灭。五、参考电路根据智力竞赛抢答器的设计任务和要求,其逻辑参考电路如下图所示。图2.1 四组智力竞赛抢答器逻辑控制电路参考图六、参考电路简要说明图2.1为四组智力竞赛抢答器逻辑控制电路参考图,若要增加组数,则需要把计分显示部分增加即可。1. 计分部分每组均由8421码拨码开关KS-1,完成分数的增和减,每组为三位,个、十、百位,每位可以单独进行加减。例如:100分加10分变为110分,只需按动拨码

20、开关十位“+”号一次;若加“20”分,只要按动“+”号两次。若减分,方法相同,即按动“”号就能完成减数计分。顺便提一下,计分电路也可以用电子开关或集成加、减法计数器来组合完成。2. 判组电路这部分电路由RS触发器完成,CD4043为三态RS锁存触发器,当S1按下时,Q1为1,这时或非门74LS25为低电平,封锁了其它组的输入。Q1为1,使发光管D1发亮,同时也驱动音响电路呜叫,实现声、光的指示。输入端采用了阻容方法,以防止开关抖动。3. 定时电路当进行抢答或必答时,主持人按动单次脉冲起动开关,使定时数据置入计数器,同时使JK触发器翻转(Q=1),定时器进行减计数定时,定时开始,定时指示灯亮。当

21、定时时间到,即减法计数器为“00”时,Bo为“1”,定时结束,这时去控制音响电路呜叫,并灭掉指示灯(JK触发器的/Q=1,Q=0)。定时显示用CL002,定时的时标脉冲为“秒”脉冲。4. 音响电路音响电路中,f1和f2为两种不同的音响频率,当某组抢答时,应为多音,其时序应为间断音频输出。当定时到,应为单音,其时序应为单音频输出,时序如图2.2所示。图2.2 音频时序波形图课题三 交通灯控制逻辑电路设计一、 简述为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制器的系统

22、框图如图3.1所示。图3.1 交通灯控制器系统框图二、设计任务和要求设计一个十字路口交通信号灯控制器,其要求如下:1. 满足如图3.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。图3.2 交通灯顺序工作流程图 2. 应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序

23、工作流程图见图3.3所示。图3.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别为15秒、3秒、18秒,一次循环为36秒。其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。图3.3 交通灯时序工作流程图3. 十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式工作,直至减到数为“0”,十字路口红、绿等交换,一次工作循环结束,而进入下一步某方向的工作循环。例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为18,并使数显计数器开始减“1”计数,当减到绿灯灭而黄灯亮(闪耀)时,数显得值应为3,

24、当减到“0”时,此时黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯亮,并置东西方向的数显为18。4. 可以手动调整和自动控制,夜间为黄灯闪耀。5. 在完成上述任务后,可以对电路进行以下几方面的电路改进或扩展。 (1)在某一方向(如南北)为十字路口主干道,另一方向(如东西)为次干道;主干道由于车辆、行人多,而次干道的车辆、行人少,所以主干道绿灯亮的时间可以选定为次干道绿灯亮时间的2倍或3倍。(2)用LED发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的发光二极管接通,并一个一个向前移动,表示汽车在行驶;当遇到黄灯亮时,移位发光二极管就停止,而过了十字路口的移位发光二极管继续向前移

25、动;红灯亮时,则另一方向转为绿灯亮,那么,这一方向的LED发光二极管就开始移位(表示这一方向的车辆行驶)。三、可选用器材1. 通用实验底板2. 直流稳压电源3. 交通信号灯及汽车模拟装置4. 集成电路:74LS74、74LS164、74LS168、74LS248及门电路5. 显示:LC5011-11,发光二极管6. 电阻7. 开关四、设计方案提示根据设计任务和要求,参考交通灯控制器的逻辑电路主要框图3.1,设计方案可以从以下几部分进行考虑。1. 秒脉冲和分频器因十字路口每个方向绿、黄、红灯所亮时间比例分别为5:1:6,所以,若选4秒(也可以3秒)为一单位时间,则计数器每计4秒输出一个脉冲。这一

26、电路就很容易实现,逻辑电路参考前面有关课题。2. 交通灯控制器由波形图可知,计数器每次工作循环周期为12,所以可以选用12进制计数器。计数器可以用单触发器组成,也可以用中规模集成计数器。这里我们选用中规模74LS164八位移位寄存器组成扭环形12进制计数器。扭环形计数器的状态表如表3.1所示。表3.1 状态表t寄存器器输出南北方向东西方向Q0 Q1 Q2 Q3 Q4 Q5 NSG NSY NSREWG EWY EWR012345678910110 0 0 0 0 01 0 0 0 0 01 1 0 0 0 01 1 1 0 0 01 1 1 1 0 01 1 1 1 1 01 1 1 1 1

27、10 1 1 1 1 10 0 1 1 1 10 0 0 1 1 10 0 0 0 1 10 0 0 0 0 11 0 01 0 01 0 01 0 01 0 00 00 0 10 0 10 0 10 0 10 0 10 0 10 0 10 0 10 0 10 0 10 0 10 0 11 0 01 0 01 0 01 0 01 0 00 0根据状态表,我们不难列出东西方向和南北方向绿、黄、红灯的逻辑表达式: 东西方向 绿: 黄: 红:南北方向 绿:黄:红:由于黄灯要求闪耀几次,所以用时标1s和EWY或NSY黄灯信号相“与”即可。3. 显示控制部分显示控制部分实际上是一个定时控制电路。当绿灯亮

28、时,使减法计数器开始工作(用对方的红灯信号控制),每来一个秒脉冲,使计数器减1,直到计数器为“0”而停止。译码显示可用74LS248 BCD码七段译码器,显示器用LC5011-11共阴极LED显示器,计数器材用可预置加、减法计数器,如74LS168、74LS193等。3. 手动/自动控制,夜间控制这可用一选择开关进行。置开关在手动位置,输入单次脉冲,可使交通灯在某一位置上,开关在自动位置时,则交通信号灯按自动循环工作方式运行。夜间时,将夜间开关接通,黄灯闪亮。4. 汽车模拟运行控制用移位寄存器组成汽车模拟控制系统,即当某一方向绿灯亮时,则绿灯亮“G”信号使该路方向的移位通路打开,而当黄、红灯亮

29、时,则使该方向的移位停止。如图3.4所示,为南北方向汽车模拟控制电路。图3.4 汽车模拟控制电路五、参考电路根据设计任务和要求,交通信号灯控制器参考电路,如图3.5所示。六、参考电路简要说明1. 单次手动及脉冲电路单次脉冲是由两个与非门组成的RS触发器产生的,当按下K1时,有一个脉冲输出使74LS164移位计数,实现手动控制。K2在自动位置时,由秒脉冲电路经分频后(4分频)输入给74LS164,这样,74LS164为每4秒向前移一位(计数1次)。秒脉冲电路可用晶振或RC振荡电路构成。图3.5 交通信号灯控制器参考电路2. 控制器部分它由74LS164组成扭环形计数器,然后经译码后输出十字路口南

30、北、东西两个方向的控制信号。其中黄灯信号必须满足闪耀,并在夜间时,使黄灯闪亮,而绿、红灯灭。3. 数字显示部分当南北方向绿灯亮,而东西方向红灯亮时,使南北方向的74LS168以减法计数器方式工作,从数字“24” 开始往下减,当减到“0”时,南北方向绿灯灭,红灯亮,而东西方向红灯灭,绿灯亮。由于东西方向红灯灭信号(EWR:0)使与门关断,减法计数器工作结束,而南北方向红灯亮使另一方向东西方向减法计数器开始工作。在减法计数开始之前,由黄灯亮信号使减法计数器先置入数据,图中接入U/和的信号就是由黄灯亮(为高电平)时,置入数据。黄灯灭(Y=0)而红灯亮(R=1)开始减计数。4. 汽车模拟控制电路这一部

31、分电路参考图4。当黄灯(Y)或红灯(R)亮时,则这端为高(H)电平,在CP移位脉冲作用下,而向前移位,高电平“H”从QH一直移到QA(图中74LS164-1)由于绿灯在红灯和黄灯位高电平时,它为低电平,所以74LS164-1QA的信号就不能送到74LS164-2移位寄存器的RI端。这样,就模拟了当黄、红灯亮时汽车停止的功能。而当绿灯亮,黄、红灯灭(G=1,R=0,Y=0)时,74LS164-1、74LS164-2都能在CP移位脉冲作用下向前移位。这就意味着绿灯亮时汽车向前运行这一功能。要说明一点,交通灯控制器实现方法很多,这里就不一一举例了。课题四 汽车尾灯控制电路一、设计任务设计构成一个控制

32、汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。图4.1右转弯时三个右边的灯应动作,左边的灯则全灭,右边的灯如图4.1(a)所示,周期性明亮与暗,一周约需一秒,对于左转弯,左边灯的操作应相类似;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗。同时,电路还用一个开关模拟脚踏制动器,制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮,在转弯的情况下,三个转向的尾灯应正常动作

33、,另三个尾灯连续亮。另一个开关模拟停车,停车时,全部尾灯亮度为正常的一半。二、提示汽车尾灯控制电路由四部分组成,如图4.1(b)所示,控制电路、时钟发生电路、逻辑开关及逻辑电平指示。(1)转弯信号是四状态计数电路,可由小规模触发器构成,也可由中规模计数器构成。(2)时钟产生电路,可由555定时器构成1Hz信号和50Hz信号(用于停车时,尾灯亮度为正常一半)。接线图由同学自己拟定。三、设计要求(1)设置转弯信号状态计数电路。(2)设置时钟发生电路(f=1Hz)。(3)设置控制电路。(4)设置逻辑开关。(5)画出汽车尾灯控制电路图。四、提供器材(1)74LS11三输入与门2片。(2)74LS175

34、触发器1片。(3)74LS20四输入与非门3片。(4)74LS00四两输入与非门3片。(5)74LS04反相器1片。(6)CD40106反相施密特触发器1片。(7)电阻、电容、导线若干。(8)面包板2块。课题五 数字温度计一、设计任务设计一个测试温度范围为0100的数字温度计。二、提示数字温度计一般由温度传感器、放大电路、模数转换、译码显示等几个部分组成。图5.1是数字温度计的原理图。图5.1数字温度计的原理图(1)温度传感器温度是最普通最基本的物理量,用电测法测量温度时,首先要通过温度传感器将温度转换成电量,温度传感器有热膨胀式(双金属元件和水银柱开关),温差电势效应电压式(热电偶),电阻效

35、应式电阻温度计(有铂、镍及镍铁合金和热敏电阻)。半导体感受式(测温电阻、二极管和集成电路器件如AD590)。AD590是一种单片集成的两端式温度敏感电流源,它有金属壳,小型的扁平封装芯片和不锈钢等几种封装方式,它是一个电流源,所流过电流的数值(A级)等于绝对温度(Kelvin)的变数,其激励电压可以从+4V+30V,适用的温度范围从-55+110。图5.2是它的应用示例图。图5.2 AD590应用示例(2)温度的测量在测量温度时,AD590往往要接到需要电压输入的系统中,图5.2是用两个AD590和一个运算放大器进行温度测量的基本电路,其输出电压VO=(T1-T2)50mv/,若T2=0,则为

36、待测温度,当T1=T2时,由于AD590之间的失配或者有小的温度差,用电阻R1和R2能够调掉偏置。(3)温度的数字显示运算放大器输出电压需经A/D变换、译码器送至数码管显示。应注意显示的温度数值与电压之间的换算关系。三、设计要求(1)查阅资料选择温度传感器。(2)设计温度测量电路(确定温度与电压之间的转换关系)。(3)设计温度显示电路(显示的数字应反映被测量的温度)。(4)画出数字温度计电路图,读数范围0100,读数稳定。四、提供器材(1)温度传感器AD590等。(2)运算放大器A741 1片。(3)模数转换器ADC0809 1片。(4)译码器:(自选)需将二进制数转换成BCD码。(5)BCD

37、码的七段码显示器74LS48 3片。(6)数码管(共阴性)3只。(7)电阻、电容若干。(8)555定时器1片。(9)面包板2块。课题六 多路防盗报警电路的设计一、 设计任务设计一个多路防盗报警电路,要求:(1) 输入电压:DC12V。(2) 输出信号:同时驱动LED和继电器。(3) 具有延时触发功能。(4) 具有显示报警地点功能。(5) 可以根据需要随时扩展报警路数。二、 提示多路报警器采用多路输入、同一报警输出方式实现。输入端带延时触发功能,一麻痹盗贼。多路报警器原理框图如图6.1图6.1 多路报警器原理框图参考电路如图6.2所示,包括:(1)触发电路:按键S代表报警轻触开关,当开关按下时,

38、电容C1经电阻R1充电,实现延时触发电路;再经过三极管BG放大,驱动晶体管Q,点亮LAMP-1指示灯,指示报警,同时信号经过二极管D触发后续报警电路。(2)报警电路:报警电路由NE555和驱动电路构成。图6.2 多路报警器参考电路课题七 电梯控制电路设计一、设计任务设计一个简易4层电梯控制电路。二、提示电梯控制器是控制电梯按顾客的要求自动上下的装置。三、任务和要求(1)观察电梯的工作过程,描述出所设计电梯控制电路的逻辑功能。(2)电梯升降一层所需时间可自行设计。(3)能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。四、可以选用的元器件EPM7128S、5

39、55定时器、共阴极七段数码管、74LS48、发光二极管、按键开关、电阻、电容。课题八 倒计时计时器倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。 设计思路: 用三个可预置数的减计数器组成三位二-十进制减计数器,用三个译码器和三个LED数码管显示器,CMOS电路组成秒/分选择器,另外有控制电路,控制器随着计数器计数的状态发生改变,计时期间,用电气开关断开,当计时完毕时,用电气开关闭合。设计举例:出租车计费器一、设计任务出租车自动计费器是根据客户用车的实

40、际情况而自动计算、显示车费的数字表。数字表根据用车起步价、行车里程计费及等候时间计费三项显示客户用车总费用,打印单据,还可设置起步、停车的音乐提示或语言提示。1.自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,最大金额为99.99元。2.行车里程单价设为1.80元/km,等候时间计费设为1.5元/10分钟,起步费设为8.00元。要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到1km或等候不足10分钟则忽略计费。3.在启动和停车时给出声音提示。二、设计方案方案1 采用计数器电路为主实现自动计费。分别将行车里程、等候时间都按相同的比价转换成

41、脉冲信号,然后对这些脉冲进行计数,而起价可以通过预置送入计数器作为初值,如图1的原理框图所示。行车里程计数电路每行车1km输出一个脉冲信号,启动行车单价计数器输出与单价对应的脉冲数,例如单价是1.80元/km,则设计一个一百八十进制计数器,每公里输出180个脉冲到总费计数器,即每个脉冲为0.01元。等候时间计数器将来自时钟电路的秒脉冲作六百进制计数,得到10分钟信号,用10分钟信号控制一个一百五十进制计数器(等候10分钟单价计数器)向总费计数器输入150个脉冲。这样,总费计数器根据起步价所置的初值,加上里程脉冲、等候时间脉冲即可得到总的用车费用。图1 出租车计费器原理框图一上述方案中,如果将里

42、程单价计数器和10分钟等候单价计数器用比例乘法器完成,则可以得到较简练的电路。它将里程脉冲乘以单价比例系数得到代表里程费用的脉冲信号,等候时间脉冲乘以单位时间的比例系数得到代表等候时间的时间费用脉冲,然后将这两部分脉冲求和。如果总费计数器采用BCD码加法器,即利用每计满1km的里程信号、每等候10分钟的时间信号控制加法器加上相应的单价值,就能计算出用车费用。图2 出租车计费器原理框图二方案2 采用单片机为主实现自动计费。单片机具有较强的计算功能,以8位MCS51系列的单片机89C51加上外围电路同样能方便地实现设计要求。电路框图如图2所示方案3 采用VHDL编程,用FPGA/CPLD制作成“自

43、动计费器”的专用集成电路芯片ASIC,加上少数外围电子元件,即能实现设计要求。将各种方案进行比较,根据设计任务的要求,各方案的优缺点、设计制作所具备的条件,任选其中的一种方案作具体设计。本例作为传统电子设计方法的实例,采用方案1实现。三、各单元电路设计1. 里程计费电路设计图3 里程计费电路里程计费电路如图3所示。安装在与汽车轮相接的涡轮变速器上的磁铁使干簧继电器在汽车每前进10m闭合一次,即输出一个脉冲信号。汽车每前进1km则输出100个脉冲。此时,计费器应累加1km的计费单价,本电路设为1.80元。在图3中,干簧继电器产生的脉冲信号经施密特触发器整形得到CP0。CP0送入由两片74HC16

44、1构成的一百进制计数器,当计数器计满100个脉冲时,一方面使计数器清0,另一方面将基本RS触发器的Q1置为1,使74HC161(3)和(4)组成的一百八十进制计数器开始对标准脉冲CP1计数,计满180个脉冲后,使计数器清0。RS触发器复位为0,计数器停止计数。在一百八十进制计数器计数期间,由于Q1=1,则P2=/CP1,使P2端输出180个脉冲信号,代表每公里行车的里程计费,即每个脉冲的计费是0.01元,称为脉冲当量。2等候时间计费电路图4 等候时间计费电路等候时间计费电路如图4所示,由74HC161(1)、(2)、(3)构成的六百进制计数器对秒脉冲CP2作计数,当计满一个循环时也就是等候时间

45、满10分钟。一方面对六百进制计数器清0,另一方面将基本RS触发器置为1,启动74HC161(4)和(5)构成的一百五十进制计数器(10分钟等候单价)开始计数,计数期间同时将脉冲从P1输出。在计数器计满10分钟等候单价时将RS触发器复位为0,停止计数。从P1输出的脉冲数就是每等候10分钟输出150个脉冲,表示单价为1.50元,即脉冲当量为0.01元,等候计时的起始信号由接在74HC161(1)的手动开关给定。3.计数、锁存、显示电路如图5所示,其中计数器由4位BCD码计数器74LS160构成,对来自里程计费电路的脉冲P2和来自等候时间的计费脉冲P1进行十进制计数。计数器所得到的状态值送入由2片8

46、位锁存器74LS273构成的锁存电路锁存,然后由七段译码器74LS48译码后送到共阴数码管显示。图5 计数、锁存、显示电路计数、译码、显示电路为使显示数码不闪烁,需要保证计数、锁存和计数器清零信号之间正确的时序关系,如图6所示。由图6的时序结合图5的电路可见,在Q2或Q1为高电平1期间,计数器对里程脉冲P2或等候时间脉冲P1进行计数,当计数完1km脉冲(或等候10分钟脉冲)则计数结束。现在应将计数器的数据锁存到74LS273中以便进行译码显示,锁存信号由74LS123(1)构成的单稳态电路实现,当Q1或Q2由1变0时启动单稳电路延时而产生一个正脉冲,这个正脉冲的持续时间保证数据锁存可靠。锁存到

47、74LS273中的数据由74LS48译码后,在显示器中显示出来。只有在数据可靠锁存后才能清除计数器中的数据。因此,电路中用74LS123(2)设置了第二级单稳电路,该单稳电路用第一级单稳输出脉冲的下跳沿启动,经延时后第二级单稳的输出产生计数器的清零信号。这样就保证了“计数锁存清零”的先后顺序,保证计数和显示的稳定可靠。图6 计数、锁存清零信号的时序图图中的S2为上电开关,能实现上电时自动置入起步价目,S3可实现手动清零,使计费显示为00.00。其中,小数点为固定位置。4. 时钟电路时钟电路提供等候时间计费的计时基准信号,同时作为里程计费和等候时间计费的单价脉冲源,电路如图7所示。图7 时钟电路

48、在图7中,555定时器产生1kHZ的矩形波信号,经74LS90组成的3级十分频后,得到1Hz的脉冲信号,可作为计时的基准信号。同时,可选择经分频得到的500Hz脉冲作为CP1的计数脉冲。也可采用频率稳定度更高的石英晶体振荡器。5. 置位电路和脉冲产生电路的设计在数字电路的设计中,常常还需要产生置位、复位的信号,如SD、RD。这类信号分高电平有效、低电平有效两种。由于实际电路在接通电源瞬间的状态往往是随机的,需要通过电路自动产生置位、复位电平使之进入预定的初始状态,如前面设计中的图5,其中S2就是通过上电实现计数器的数据预置。图8表示了几种上电自动置位、复位或置数的电路。图8 开机置位、复位和置

49、数命令产生电路在图(a)中,当S接通电源时,由于电容C两端电压不能突变仍为零,使RD为0,产生Q置0的信号。此后,C被充电使C两端的电压上升到RD为1时,D触发器进入计数状态。图(b)则由于非门对开关产生的信号进行了整形而得到更好的负跳变波形。图(c)和图(d)中的CC4013是CMOS双D触发器,这类电路置位和复位信号是高电平有效,由于开关闭合时电容可视为短路而产生高电平,使RD=1,Q=0;若将此信号加到SD,则SD=1,Q=1;置位、复位过后,电容充电而使RD(SD)变为0,电路可进入计数状态。图(e)是用开关电路产生点动脉冲,每按一次开关产生一个正脉冲,使触发器构成的计数器计数1次;图

50、(f)是用开关电路产生负脉冲,每按一次开关产生一个负脉冲。四、电路的安装与调试数字电路系统的设计完成后,一个重要的步骤是安装调试。这一步是对设计内容的检验,也是设计修改的实践过程,是理论知识和实践知识综合应用的重要环节。安装调试的目标是使设计电路满足设计的功能和性能指标,并且具有系统要求的可靠性、稳定性、抗干扰能力。这里简要叙述安装调试数字电路的几个步骤。(1) 检测电路元件最主要的电路元件是集成电路,常用的检测方法是用仪器测量、用电路实验或用替代方法接入已知的电路中。集成电路的检测仪器主要用集成电路测试仪,还可用数字电压表作简易测量。实验电路则模拟现场应用环境测试集成芯片的功能。替代法测试必

51、须具备已有的完好工作电路,将待测元件替代原有器件后观察工作情况。除集成电路芯片外,还应检测各种准备接入的其他各种元件,如三极管、电阻、电容、开关、指示灯、数码管等。应确信元件的功能正确、可靠才能装入电路安装。(2) 电路安装数字电路系统在设计调试中,往往是先用面包板进行试装,只有试装成功,经调试确定各种待调整的参数合适后,才考虑设计成印制电路。试装中,首先要选用质量较好的面包板,使各接插点和接插线之间松紧适度。安装中的问题往往集中在接插线的可靠性上,特别需要引起注意。安装的顺序一般是按照信号流向的顺序,先单元后系统、边安装边测试的原则进行。先安装调试单元电路或子系统,在确定各单元电路或子系统成

52、功的基础上,逐步扩大电路的规模。各单元电路的信号连接线最好有标记,如用特别颜色的线,以便能方便断开进行测试。(3) 系统调试系统调试试将安装测试成功的各单元连接起来,加上输入信号进行调试,发现问题则先对故障进行定位,找出问题所在的单元电路。一般采用故障现象估测法(根据故障情况估计问题所在位置)、对分法(将故障大致所在部分的电路对分成两部分,逐一查找)、对比法(将类型相同的电路部分进行对比或对换位置)等。系统测试一般分静态测试和动态测试。静态测试时,在各输入端加入不同电平值,加高电平(一般接1千欧以上电阻到电源)、低电平(一般接地)后,用数字万用表测量电路各主要点的电位,分析是否满足设计要求。动态测试时,在各输入端接入规定的脉冲信号,用示波器观察各点的波形,分析它们之间的逻辑关系和延时。除了调试电路的正常工作状态外,另外特别要注意调试初始状态、系统清零、预置等功能,检查相应的开关、按键、拨盘是否可靠,手感是否正常。 44

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!