数电教材第4章组逻辑电路

上传人:仙*** 文档编号:152092534 上传时间:2022-09-14 格式:PPT 页数:116 大小:8.26MB
收藏 版权申诉 举报 下载
数电教材第4章组逻辑电路_第1页
第1页 / 共116页
数电教材第4章组逻辑电路_第2页
第2页 / 共116页
数电教材第4章组逻辑电路_第3页
第3页 / 共116页
资源描述:

《数电教材第4章组逻辑电路》由会员分享,可在线阅读,更多相关《数电教材第4章组逻辑电路(116页珍藏版)》请在装配图网上搜索。

1、 本章重点介绍组合逻辑电路的特点、分析与设计。本章重点介绍组合逻辑电路的特点、分析与设计。在此基础上,介绍常用的集成组合逻辑电路。最后介绍在此基础上,介绍常用的集成组合逻辑电路。最后介绍组合逻辑电路上存在地竞争冒险现象,产生的原因及组合逻辑电路上存在地竞争冒险现象,产生的原因及消除的方法。消除的方法。在这一章中,应能在给定电路的情况下,分析其在这一章中,应能在给定电路的情况下,分析其逻辑功能;也可在给定逻辑要求的情况下,用逻辑电逻辑功能;也可在给定逻辑要求的情况下,用逻辑电路实现。另外对于集成组合逻辑电路,如编码器、译路实现。另外对于集成组合逻辑电路,如编码器、译码器、数据选择器等,应了解其电

2、路的逻辑功能、输码器、数据选择器等,应了解其电路的逻辑功能、输出输入的逻辑关系、利用它们实现逻辑功能。出输入的逻辑关系、利用它们实现逻辑功能。重点是重点是译码器和数据选择器。译码器和数据选择器。4.1 概述概述 4.2 组合逻辑电路的分析和设计组合逻辑电路的分析和设计 4.3 若干常用的组合逻辑电路若干常用的组合逻辑电路 4.4 组合逻辑电路中的竞争冒险现象组合逻辑电路中的竞争冒险现象1.1.组合逻辑电路的特点组合逻辑电路的特点 任意时刻的输出仅仅取决于该时的输入,与电路任意时刻的输出仅仅取决于该时的输入,与电路原来的状态无关。原来的状态无关。例如对于图例如对于图4.1.1所示电路所示电路其输

3、出端的逻辑式为其输出端的逻辑式为ABYBABAY21输出和输入的真输出和输入的真值表如表值表如表4.1所示所示 此电路为半加器,当输此电路为半加器,当输入端的值一定时,输出的取入端的值一定时,输出的取值也随之确定,与电路的过值也随之确定,与电路的过去状态无关,无存储单元,去状态无关,无存储单元,属于组合逻辑电路。属于组合逻辑电路。2.逻辑功能的描述逻辑功能的描述 逻辑功能的描述可以用逻辑函数、逻辑图及真值逻辑功能的描述可以用逻辑函数、逻辑图及真值表来实现。由于逻辑图不够直观,一般需要将其转换表来实现。由于逻辑图不够直观,一般需要将其转换成逻辑函数或真值表的形式。成逻辑函数或真值表的形式。对于任

4、何一个多输入、多输出的组合逻辑电路来讲,对于任何一个多输入、多输出的组合逻辑电路来讲,都可以用都可以用4.1.2所示框图来表示。所示框图来表示。其中:其中:a1、a2 an表示输入变量,表示输入变量,y1、y2 ym表示输入变量表示输入变量,其输出输入的逻辑关系可表述为其输出输入的逻辑关系可表述为)()()(2121222111nmmnnaaafyaaafyaaafy、在电路结构上信号的流向是单向性的,在电路结构上信号的流向是单向性的,没有从输出端到输入端的反馈。电路的基没有从输出端到输入端的反馈。电路的基本组成单元是逻辑门电路,不含记忆元件。本组成单元是逻辑门电路,不含记忆元件。但由于门电路

5、有延时,故组合逻辑电路也但由于门电路有延时,故组合逻辑电路也有延迟时间。有延迟时间。)(AFY 组合逻辑电路分析就是给定某逻辑电路,分析其组合逻辑电路分析就是给定某逻辑电路,分析其逻辑功能。逻辑功能。分析的步骤为分析的步骤为a.由所给电路写出输出端的逻辑式;由所给电路写出输出端的逻辑式;b.将所得的逻辑式进行化简;将所得的逻辑式进行化简;d.由真值表分析电路的逻辑功能,即是做什由真值表分析电路的逻辑功能,即是做什么用的。么用的。c.由化简后的逻辑式写出输出输入的真值表;由化简后的逻辑式写出输出输入的真值表;例例4.2.1 分析图分析图 4.2.1所示逻辑电路的逻辑功能。所示逻辑电路的逻辑功能。

6、解:解:a.由图可得由图可得)()()(ABCCABCBABCAYb.化简:化简:其卡诺图为其卡诺图为化简后化简后CBCABAYCBCACBBACABACBACBAABCCABCBABCAABCCABCBABCAY )()()()()()()(c.由上述最简逻辑式可得输出输入由上述最简逻辑式可得输出输入的真值表如表的真值表如表4.2.1所示所示d.由真值表可知此电路为由真值表可知此电路为非一致电路,即输入非一致电路,即输入A、B、C取值不一样时输出取值不一样时输出为为1,否则为否则为0.其电路的特其电路的特点是无反变量输入。点是无反变量输入。表表4.2.1例例4.2.2 分析图分析图4.2.2

7、所示电路的逻辑功能所示电路的逻辑功能解:由解:由4.2.2图可得图可得BABABABASH)()(ABABCH)(其真值表为其真值表为其逻辑功能为半加器。其逻辑功能为半加器。练习:如图练习:如图4.2.3所示电路,分析其逻辑功能。所示电路,分析其逻辑功能。解:输出端的逻辑式为解:输出端的逻辑式为CBAABYCBAY)(21输出输入真值表为输出输入真值表为由真值表由真值表可知,为可知,为全加器全加器4.2.2 组合逻辑电路的设计方法组合逻辑电路的设计方法 组合逻辑电路的设计就是根据给出的实际逻辑问组合逻辑电路的设计就是根据给出的实际逻辑问题,求出实现这一逻辑功能的题,求出实现这一逻辑功能的最简单

8、最简单逻辑电路。逻辑电路。所谓的最简就是指实现的电路所用的器件数最少、所谓的最简就是指实现的电路所用的器件数最少、器件的种类最少、器件之间的连线也最少。器件的种类最少、器件之间的连线也最少。其步骤为其步骤为一、一、进行逻辑抽象进行逻辑抽象1.分析事件的逻辑因果关系,确定输入变量和输出分析事件的逻辑因果关系,确定输入变量和输出变量;变量;2.定义逻辑状态的含义,即逻辑状态的赋值;定义逻辑状态的含义,即逻辑状态的赋值;3.根据给定的逻辑因果关系列出逻辑真根据给定的逻辑因果关系列出逻辑真值表。值表。逻辑抽象的其步骤逻辑抽象的其步骤二二、写出逻辑函数式、写出逻辑函数式4.2.2 组合逻辑电路的设计方法

9、组合逻辑电路的设计方法根据对电路的具体要求和实际器件的资源情况而定。根据对电路的具体要求和实际器件的资源情况而定。如与非与非式,或非或非式等。如与非与非式,或非或非式等。五五、根据化简或变换后的逻辑函数式,画出逻辑电路、根据化简或变换后的逻辑函数式,画出逻辑电路的连接图。的连接图。六六 工艺设计工艺设计由得到的真值表写出输出变量的逻辑函数式。由得到的真值表写出输出变量的逻辑函数式。三、三、选定器件的类型选定器件的类型四四、将逻辑函数化简或变换成适当地形式、将逻辑函数化简或变换成适当地形式组合逻辑电路的设计过程也可用图组合逻辑电路的设计过程也可用图4.2.4的框图来表示的框图来表示4.2.2 组

10、合逻辑电路的设计方法组合逻辑电路的设计方法例例3.2.3设两个一位二进制数设两个一位二进制数A和和B,试设计判别器,若,试设计判别器,若AB,则输出则输出Y为为1,否则输出,否则输出Y为为0.解:解:1.由题意列出真值表为由题意列出真值表为2.由真值表写出输出端的逻辑式由真值表写出输出端的逻辑式BAY3.画出逻辑电路图,如图画出逻辑电路图,如图3.2.5所示所示4.2.2 组合逻辑电路的设计方法组合逻辑电路的设计方法例例3.2.4 设设 x 和和y 是两个两位的二进制数,其中是两个两位的二进制数,其中xx1 x2,yy1 y2,试设计一判别器,当,试设计一判别器,当x y 时,输出为时,输出为

11、1;否则为否则为0,试用与非门实现这个逻辑要求,试用与非门实现这个逻辑要求解:根据题意列出真值表为解:根据题意列出真值表为由真值表写出输出函数式为由真值表写出输出函数式为)14,11,10,9,8,2(),(2211imyxyxYi卡诺图为卡诺图为4.2.2 组合逻辑电路的设计方法组合逻辑电路的设计方法则化简后的逻辑函数为则化简后的逻辑函数为)()()(2122211122122111 yyxyxxyxyxyyxxyxY逻辑电路为逻辑电路为4.2.2 组合逻辑电路的设计方法组合逻辑电路的设计方法练习练习1.试设计一逻辑电路供三人表决使用。每人有一电键,试设计一逻辑电路供三人表决使用。每人有一电

12、键,如果他赞成,就按电键,表示为如果他赞成,就按电键,表示为1;如果不赞成,不按如果不赞成,不按电键,表示电键,表示0.表决结果用指示灯表示。若多数赞成,则表决结果用指示灯表示。若多数赞成,则指示灯亮,输出为指示灯亮,输出为1,否则不亮为否则不亮为0。2.某同学参加四门课程考试,规定某同学参加四门课程考试,规定(1)课程课程A及格得及格得1分,分,不及格为不及格为0分;分;(2)课程课程B及格得及格得2分,不及格为分,不及格为0分;分;(3)课程课程C及格得及格得4分,不及格为分,不及格为0分;(分;(4)课程课程D及格及格为为5分,不及格为分,不及格为0分。若总得分大于分。若总得分大于8分(

13、含分(含8分),分),则可结业。试用与非门实现上述逻辑要求。则可结业。试用与非门实现上述逻辑要求。3.设计一个一位二进制全减器:输入被减设计一个一位二进制全减器:输入被减数为数为A,减数为,减数为B,低位来的借位数为,低位来的借位数为C,全减差为全减差为D,向高位的借位数为,向高位的借位数为Ci.4.2.2 组合逻辑电路的设计方法组合逻辑电路的设计方法编码编码:为了区分一系列不同的事物,将其中的每个事:为了区分一系列不同的事物,将其中的每个事物用二值代物用二值代 码表示。码表示。编码器:编码器:由于在二值逻辑电路中,信号是以高低电平由于在二值逻辑电路中,信号是以高低电平给出的,故编码器就是把输

14、入的每一个高低电平信号给出的,故编码器就是把输入的每一个高低电平信号变成一个对应的二进制代码。变成一个对应的二进制代码。编码器分为普通编码器和优先权编码器。编码器分为普通编码器和优先权编码器。根据进制可分为二进制编码器和二十进根据进制可分为二进制编码器和二十进制编码器制编码器I0I7为信号输入端,高为信号输入端,高电平有效;电平有效;Y2Y1Y0为三为三位二进制代码输出端,位二进制代码输出端,由于输入端为由于输入端为8个,输出个,输出端为端为3个,故也叫做个,故也叫做8线线3线编码器线编码器一、一、普通编码器普通编码器 如如3位二进制普通编码器,也称为位二进制普通编码器,也称为8线线3线编码线

15、编码器,其框图如图器,其框图如图4.3.1所示所示其输出输入的真值表为其输出输入的真值表为753107632176542IIIIYIIIIYIIIIY利用无关项化简得利用无关项化简得到到其输出端逻辑式其输出端逻辑式为为其逻辑电路如图其逻辑电路如图4.3.2所示所示图图4.3.2 3位二进制编码器(位二进制编码器(8线线3线编码器)线编码器)二二、优先编码器、优先编码器 普通编码器每次只能输入一个信号。而优先编码器普通编码器每次只能输入一个信号。而优先编码器可以同时输入几个信号,但在设计时已经将各输入信可以同时输入几个信号,但在设计时已经将各输入信号的优先顺序排好。当几个信号同时输入时,优先权号

16、的优先顺序排好。当几个信号同时输入时,优先权最高的信号优先编码。最高的信号优先编码。下面以下面以8线线3线优先编码器线优先编码器74HC148为例,其逻为例,其逻辑符号如图辑符号如图4.3.3所示,内部电路如书所示,内部电路如书P170图图4.3.3.所所示。示。链接链接图图4.3.37I6I5I4I3I2I1I0IEXY2Y1Y0Y 由由P170图图4.3.3可知,如果不考虑输出扩展端,可知,如果不考虑输出扩展端,8线线-3线优先编码器(设线优先编码器(设I7优先权最高,优先权最高,I0优先权最优先权最低)其输出端的逻辑式为低)其输出端的逻辑式为)()()(SIIIIIIIIIIYSIIII

17、IIIIYSIIIIY6421643567054234567145672其中其中S为选通输入端,当为选通输入端,当S0时,时,S 1时所有输出端均被锁定在高电平,即时所有输出端均被锁定在高电平,即 I 7 I 011。当。当S1时,时,S 0,编,编码器正常工作。码器正常工作。链接链接 由由P170图图4.3.3可知,不考虑扩展端,可知,不考虑扩展端,8线线-3线线优先编码器(设优先编码器(设I7优先权最高,优先权最高,I0优先权最低)其优先权最低)其真值表如表所示真值表如表所示输输 入入输输 出出I0I1I2I3I4I5I6I7Y2Y1Y0XXXXXXX1111XXXXXX10110XXXX

18、X100101XXXX1000100XXX10000011XX100000010X100000000110000000000链接链接 为了扩展电路的功能和使用的灵活性,在为了扩展电路的功能和使用的灵活性,在8线线3线优先编码器线优先编码器74HC148中附加了选通输出端中附加了选通输出端Y S和扩和扩展端展端Y EX,且由,且由P170图图4.3.3可知可知链接链接)()()(SIIIIIIIISSIIIIIIIIYSIIIIIIIIYEXS012345670123456701234567为为0时,电路时,电路工作工作无无编码编码输入输入为为0时,电路工作时,电路工作有有编码输入编码输入74H

19、C148的真值表如下表的真值表如下表不可能出不可能出现现00工作,且工作,且有输入有输入01工作,但工作,但无输入无输入10不工作不工作11状态状态SYEXY先级最低;优依次下去,为最高,其次先级为输入端的优067,148LS74.1III;1,1,1111.2012EXSYYYYYS工作,此时输出端时,编码器不能当;1,0,111110.301207EXSYYYYYIIS但为时,则输出端输入信号输入,即此时如果没有时,编码器可以工作。当说明:说明:.,0.4是低电平以号,可以是高电平也可信比这个信号优先权低的平,的输入信号必须为高电高但在比这个信号优先权码,优先级高得信号优先编则若几个信号同

20、时输入,编码器有输入时,当S出。输出是以反码的形式输出为任意时,则输,如.0110,111012034567YYYIIIIII例例3.3.1试用两片试用两片74HC148接成接成16线线4线优先编码器,线优先编码器,将将A 0 A 1516个低电平输入信号编为个低电平输入信号编为00001111 16个个4位二进制代码,其中位二进制代码,其中A 15的优先权最高,的优先权最高,A 0的优的优先权最低先权最低解:解:a.要求要求16个输入端,正好每个个输入端,正好每个74LS148有有8个输入个输入端,两片正好端,两片正好16个输入端个输入端,满足输入端的要求;满足输入端的要求;(1)(2)b.

21、根据优先权的要求,若第一片的优先级比第二片高,根据优先权的要求,若第一片的优先级比第二片高,则第一片的输入为则第一片的输入为A 15 A 8,第二片的输入为,第二片的输入为A 7 A 0。当第一片工作,即有输入信号时,第二片禁止。当第一片工作,即有输入信号时,第二片禁止工作,也就是使得第二片的工作,也就是使得第二片的S 1。不可能出不可能出现现00工作,且工作,且有输入有输入01工作,但工作,但无输入无输入10不工作不工作11状态状态SYEXY由表中可知可将第一片的由表中可知可将第一片的Y S接到第二片接到第二片的的S 上上(1)(2)A 15A 8A 7A 0c.由于由于74HC148输出端

22、只有输出端只有3个,要想根据要求输出个,要想根据要求输出为为4线,必须借用第一片的扩展端线,必须借用第一片的扩展端Y EX。由于。由于有输入时,有输入时,Y EX0,无输入时,无输入时Y EX1,故加反相器可作输出四位,故加反相器可作输出四位二进制数码的最高位。二进制数码的最高位。d.由于由于74HC148禁止工作或允许工作而无输入信号时,禁止工作或允许工作而无输入信号时,输出端的状态为输出端的状态为111,故输出四位二进制代码的低三位故输出四位二进制代码的低三位可由两片输出端与非构成。可由两片输出端与非构成。不可能出不可能出现现00工作,且工作,且有输入有输入01工作,但工作,但无输入无输入

23、10不工作不工作11状态状态SYEXY(1)(2)A 15A 8A 7A 0其逻辑接线图如图其逻辑接线图如图4.3.4所示。所示。优先级优先级 第一片为高优先权第一片为高优先权 只有只有(1)无编码输入时,无编码输入时,(2)才允许工作才允许工作 第第(1)片片Y EX0时表示对时表示对A 15 A 8 的编的编码码 低低3位输出应是两片的输出的位输出应是两片的输出的“与非与非”三、三、二十进制优先编码器二十进制优先编码器74LS147 即将十个信号编成即将十个信号编成10个个BCD代码。其内部逻辑图代码。其内部逻辑图见书见书P173图图4.3.5所示。其逻辑符号如图所示。其逻辑符号如图4.3

24、.5所示所示其中:其中:I 9 I 0为为10个输入信号,个输入信号,I 9的优先权最高,的优先权最高,I 0的优先权最低;的优先权最低;Y 3 Y 0为四位二进制为四位二进制BCD码的输出端码的输出端其功能表为其功能表为注:注:1.当当I 0有输入有输入信号,其他输出为信号,其他输出为高电平,输出高电平,输出Y 3 Y 2 Y 1 Y 01111;2.输出代码为对应二进制输出代码为对应二进制BCD码的反码,如码的反码,如I 60时,输出为时,输出为Y 3 Y 2 Y 1 Y 01001,为,为0110的反码的反码 译码器就是将每个输入的二进制代码译成对应的输译码器就是将每个输入的二进制代码译

25、成对应的输出高、低电平信号,和编码器逆过程。常用的译码器分出高、低电平信号,和编码器逆过程。常用的译码器分为二进制译码器、二十进制译码器和显示译码器。为二进制译码器、二十进制译码器和显示译码器。一、二进制译码器一、二进制译码器 即将即将N位二进制代位二进制代码译成码译成2N个高低电平个高低电平信号,称为信号,称为N线线 2N线译码器。如线译码器。如N3,则则可译可译2N8个高低电平个高低电平信号,称为信号,称为3线线8线线译码器。译码器。图图3.3.6为为3线线8线译码器的框图。其中:线译码器的框图。其中:A2A0二进制代码输入端;二进制代码输入端;Y7Y0信号信号输出端输出端图图4.3.6

26、3线线8线译码器的框图线译码器的框图其真值表如表其真值表如表输输 入入输输 出出A2A1A0Y7Y6Y5Y4Y3Y2Y1Y00000000000100100000010010000001000110000100010000010000101001000001100100000011110000000各输出端逻辑式为各输出端逻辑式为01200AAAmY01211AAAmY01222AAAmY01233AAAmY01244AAAmY01255AAAmY01266AAAmY01277AAAmY称为最小项译码器称为最小项译码器 上述最小项上述最小项3线线8线译码器由二极线译码器由二极管与门阵列构成的管

27、与门阵列构成的电路如图电路如图4.3.7所示所示 设设Vcc5V,输入信号的高低电输入信号的高低电平为平为3V和和0V,二,二极管导通压降为极管导通压降为0.7V1.二极管与门阵列二极管与门阵列构成的构成的3位二进制位二进制译码器译码器图图4.3.7二极管与门阵列二极管与门阵列构成的构成的3线线8线译码器线译码器则当则当A2A1A0=010时,则只有时,则只有Y21图图4.3.7二极管与门阵列二极管与门阵列构成的构成的3线线8线译码器线译码器注:二极管构成的注:二极管构成的译码器优点是电路译码器优点是电路比较简单。缺点是比较简单。缺点是电路的输入电阻低电路的输入电阻低输出电阻高。另外输出电阻高

28、。另外存在输出电平移动存在输出电平移动问题。通常用在中问题。通常用在中大规模的集成电路大规模的集成电路中。中。2.中规模集成译码器中规模集成译码器74HC13874HC138是由是由CMOS门构成的门构成的3线线8线译码器,其逻辑线译码器,其逻辑图如图图如图4.3.8所示所示图图4.3.8附加附加控制控制端端输出端输出端低电平低电平有效有效输入端输入端123SSSS)(iiSmY输出端的逻辑输出端的逻辑式可以写成式可以写成图图4.3.9为为74HC138的逻辑符号的逻辑符号图图4.3.9 74HC138的逻辑符号的逻辑符号111111101111111101110111111010110111

29、11011101011110111001011101111110011011111010010111111100011111111000011111111XXX1X1111111XXXX0A0A1A2S1输输 出出输输 入入32SS01234567YYYYYYYY其逻辑功能表为其逻辑功能表为全部为高电平。禁止工作,输出端状态时,译码器被或当附加控制端1SS0S.321a注:注:11111110111111110111011111101011011111011101011110111001011101111110011011111010010111111100011111111000011111

30、111XXX1X1111111XXXX0A0A1A2S1输输 出出输输 入入32SS01234567YYYYYYYYb.当当S11,S 2 S 30时,译码器处于时,译码器处于工作状态工作状态11111110111111110111011111101011011111011101011110111001011101111110011011111010010111111100011111111000011111111XXX1X1111111XXXX0A0A1A2S1输输 出出输输 入入32SS01234567YYYYYYYY111111101111111101110111111010110111

31、11011101011110111001011101111110011011111010010111111100011111111000011111111XXX1X1111111XXXX0A0A1A2S1输输 出出输输 入入32SS01234567YYYYYYYY.)(;)(;)(;)(;)(;)(;)(;)(7012760126501254012430123201221012000120mAAAYmAAAYmAAAYmAAAYmAAAYmAAAYmAAAYmAAAYc.当译码器工作时,输出端的逻辑式为当译码器工作时,输出端的逻辑式为iimY或写成或写成 由上面分析可知,输出端的逻辑式是以输入

32、的三由上面分析可知,输出端的逻辑式是以输入的三个变量最小项取反的形式,故这种译码器也叫最小项个变量最小项取反的形式,故这种译码器也叫最小项译码器。译码器。以反码的形式输出。全是高电平,只有时,其它门输出端称为地址输入端。如当入端,称为数据输来定。故出要由地址端输入,具体从哪端输由时,数据多路输出器),当分配器此译码器也是一个数据,S)Sm(Y101AAAAAASAAAS0SS(.550120121012132d图图4.3.9 74HC138的逻辑符号的逻辑符号例例3.3.2 试用两片试用两片3线线8线译码器线译码器74HC138组成组成4线线16线译码器,将输出的线译码器,将输出的4位二进制代

33、码位二进制代码D3 D2 D1 D0译成译成16个独立的低电平信号个独立的低电平信号Z 0 Z 15解:由于解:由于74HC138为为3线线8线译码器,要构成线译码器,要构成4线线16线译码器,需要线译码器,需要4个输入地址线,故要除了个输入地址线,故要除了74HC138的的3个输入端外,还要利用附加控制端,根个输入端外,还要利用附加控制端,根据据74HC138功能表功能表,利用利用S1和和S 2及及S 3实现的电路如图实现的电路如图4.3.10所示所示图图4.3.10D3=0(1)片工作,)片工作,(2)片不工作)片不工作D3=1(1)片不)片不工作,(工作,(2)片工)片工作作iimZ 二

34、十进制译码器就二十进制译码器就是将是将10个个BCD代码译成代码译成10个高低电平的输出信号,个高低电平的输出信号,BCD码以外的伪码码以外的伪码(10101111),输出均),输出均无低电平信号产生。无低电平信号产生。74HC42即为二十即为二十进制的译码器,其内部进制的译码器,其内部逻辑图如图逻辑图如图4.3.11所示,所示,二二 、二十进制译码器、二十进制译码器图图4.3.11其输出端逻辑式为其输出端逻辑式为)90(imYii三、用译码器设计组合逻辑电路三、用译码器设计组合逻辑电路1.基本原理基本原理 由于译码器的输出为最小项取反,而逻辑函数可由于译码器的输出为最小项取反,而逻辑函数可以

35、写成最小项之和的形式,故可以利用附加的门电路以写成最小项之和的形式,故可以利用附加的门电路和译码器实现逻辑函数。和译码器实现逻辑函数。2.举例举例例例4.3.1 利用利用74HC138设计一个多输出的组合逻辑电路,设计一个多输出的组合逻辑电路,输出逻辑函数式为:输出逻辑函数式为:ABCCBCBAZCBABAZCBABCZCBABCACAZ4321解:先将要输出的逻辑函数化成最小项之和的形式,解:先将要输出的逻辑函数化成最小项之和的形式,即即742045323731265431mmmmABCCBCBAZmmmCBABAZmmmCBABCZmmmmCBABCACAZ将要实现的输出逻辑函数的最小项之

36、和的形式两次将要实现的输出逻辑函数的最小项之和的形式两次取反,即取反,即)()()()()()()()(74207420453253237317312654365431 mmmmmmmmZmmmmmmZmmmmmmZmmmmmmmmZ由于由于74HC138的输出为的输出为)70(),(012imAAAYii则用则用74HC138实现的电路如图实现的电路如图4.3.12所示所示)()()()()()()()(74207420453253237317312654365431 mmmmmmmmZmmmmmmZmmmmmmZmmmmmmmmZ图图4.3.12例例4.3.2 试利用试利用3线线8线译码器

37、线译码器74HC138及与非门实现及与非门实现全减器,设全减器,设A为被减数,为被减数,B为减数,为减数,CI为低位的借位,为低位的借位,D为差,为差,CO为向高位的借位。为向高位的借位。解:解:a.由题意得出输出、输入真值表由题意得出输出、输入真值表b.将输出端逻辑式写成最小项之和将输出端逻辑式写成最小项之和的形式,并利用反演定律化成与非的形式,并利用反演定律化成与非与非式。与非式。)(74217421 mmmmmmmmD)(73217321 mmmmmmmmCOc.由由74HC138的输出可知的输出可知iimY 故:故:)()(74217421 YYYYmmmmD)()(73217321

38、YYYYmmmmCOd.其实现的电路图如图其实现的电路图如图4.3.13所示所示例例4.3.3 由由3线线8线译码器线译码器74HC138所组成的电路如所组成的电路如图图4.3.14所示,试分析该电路的逻辑功能。所示,试分析该电路的逻辑功能。解:各输出端的逻辑式为解:各输出端的逻辑式为5432543254322)()(mmmmmmmmYYYYZ 5454541)()(mmmmYYZ 531053153100)()(mmmmmmmmYYYYZo 输出输入的真值表为输出输入的真值表为由真值表可以看出由真值表可以看出XX2X1X0作为输入作为输入3为二为二进制数,进制数,ZZ2Z1Z0作作为输出的为

39、输出的3位二进制数,位二进制数,当当X5时,时,Z0;当当2X5时,时,ZX2.5310054154322mmmmZmmZmmmmZ四四、显示译码器、显示译码器1.七段字符显示器七段字符显示器 即用七段字符显示即用七段字符显示09个十进制数码,常用的七个十进制数码,常用的七段字符显示器有半导体数码管和液晶显示器两种。段字符显示器有半导体数码管和液晶显示器两种。a.半导体数码管(半导体数码管(LED七段显示器七段显示器):图图4.3.15为半导体数码管为半导体数码管BS201A(共阴极)的外形示(共阴极)的外形示意图及内部等效电路意图及内部等效电路图图4.3.15注:注:(1)半导体数码管每段都

40、是一个发光二极管半导体数码管每段都是一个发光二极管(LED),材料不同,),材料不同,LED发出光线的波长不同,其发出光线的波长不同,其发光的颜色也不一样。发光的颜色也不一样。(2)半导体数码管分共阴极和共阳极两类,半导体数码管分共阴极和共阳极两类,BS201A属属于共阴极类型,因为从内部电路上看,其各发光二极于共阴极类型,因为从内部电路上看,其各发光二极管的阴极是接在一起的。当外加高电平时,发光二极管的阴极是接在一起的。当外加高电平时,发光二极管亮,故高电平有效。而共阳极内部电路如图管亮,故高电平有效。而共阳极内部电路如图4.3.16所示,故低电平有效。所示,故低电平有效。(3)半导体数码管

41、的优点是工作电压低,体积小、寿半导体数码管的优点是工作电压低,体积小、寿命长、可靠性高、响应时间短、亮度高等。缺点为工命长、可靠性高、响应时间短、亮度高等。缺点为工作电流大(作电流大(10mA)。)。b.液晶显示器(液晶显示器(LCD显示器):显示器):液晶是一种既有液体的流动性又具有光学特性的液晶是一种既有液体的流动性又具有光学特性的有机化合物。它的透明度和呈现的颜色是受外加电场有机化合物。它的透明度和呈现的颜色是受外加电场的影响,利用这一点做成七段字符显示器。的影响,利用这一点做成七段字符显示器。七段液晶电极也排列成七段液晶电极也排列成8字形,当没字形,当没有外加电场时,由于液晶分子整齐地

42、排列,有外加电场时,由于液晶分子整齐地排列,呈透明状态,射入的光线大部分被返回,呈透明状态,射入的光线大部分被返回,显示器呈白色;显示器呈白色;2.BCD-七段显示译码器七段显示译码器 当有外加电场,并且选择不同的电极组合并加以当有外加电场,并且选择不同的电极组合并加以电压,由于液晶分子的整齐排列被破坏,呈浑浊状态,电压,由于液晶分子的整齐排列被破坏,呈浑浊状态,射入的光线大部分被吸收,故呈暗灰色,可以显示出射入的光线大部分被吸收,故呈暗灰色,可以显示出各种字符来。各种字符来。液晶显示器的最大优点是功耗极低,工作电压也低,液晶显示器的最大优点是功耗极低,工作电压也低,但亮度很差,另外它的响应速

43、度较低。一般应用在小型但亮度很差,另外它的响应速度较低。一般应用在小型仪器仪表中。仪器仪表中。七段数码管需要驱动电路,使其点亮。七段数码管需要驱动电路,使其点亮。驱动电路可以是驱动电路可以是TTL电路或者电路或者CMOS电路,其电路,其作用是将作用是将BCD代码转换成数码管所需要的驱动代码转换成数码管所需要的驱动信号,共阳极数码管需要低电平驱动;共阴极信号,共阳极数码管需要低电平驱动;共阴极数码管需要高电平驱动数码管需要高电平驱动如共阴极数码管如共阴极数码管BS201A当某段加高电平时,则点亮,加低电平时,熄当某段加高电平时,则点亮,加低电平时,熄灭。那么如果显示某一数字如灭。那么如果显示某一

44、数字如“3”,则,则abcdg11111,fe00。下表为下表为BCD七段显示译码器的真值表(驱动共阴极七段显示译码器的真值表(驱动共阴极数码管)数码管)输输 入入输输 出出数字数字A3A2A1 A0YaYbYcYdYeYfYg字形字形00000111111010001011000020010110110130011111100140100011001150101101101160110001111170111111000081000111111191001111001110101000011011110110011001121100010001113110110010111411100001

45、1111511110000000从真值表画出从真值表画出Ya Yg的卡诺图,圈的卡诺图,圈“0”然后求反可然后求反可得各输出端的逻辑式得各输出端的逻辑式各输出端的逻辑式为各输出端的逻辑式为)()()()()()()(0121230112023012012012012012230120121302130123AAAAAAYAAAAAAAYAAAYAAAAAAAAAYAAAAAYAAAAAAAAYAAAAAAAAYgfedcba注:注:BCD七段显示译码器,不是最小项七段显示译码器,不是最小项译码器,它是将译码器,它是将4位位BCD码译成码译成7个代码,个代码,广义上也是译码器。广义上也是译码器。

46、7448是就是按照是就是按照上面的逻辑式设上面的逻辑式设计,并添加一些计,并添加一些附加控制端和输附加控制端和输出端,集成的出端,集成的BCD七段显示七段显示译码器,可以驱译码器,可以驱动共阴极数码管。动共阴极数码管。其逻辑图如图其逻辑图如图4.3.16所示所示图图4.3.16其中:其中:A3A0:四位四位BCD码的输入端码的输入端YaYg:驱动数码管七段字符的:驱动数码管七段字符的7个输出端个输出端其逻辑符号如图其逻辑符号如图4.3.17所示所示灯测试输入端灯测试输入端LT:当当LT 0 时,时,Ya Yg全部置为全部置为1,使得数码管显示使得数码管显示“8”灭零输入灭零输入RBI:当当A3

47、 A2 A1A0 0000时,若时,若RBI 0,则,则Ya Yg全部置为全部置为0,灭灯,灭灯灭灯输入灭灯输入/灭零输出灭零输出BI/RBO :当做为输入端时,若当做为输入端时,若BI/RBO 0,无论输,无论输入入A3 A2A1A0为何种状为何种状态,无论输入状态是什态,无论输入状态是什么,数码管熄灭,称灭么,数码管熄灭,称灭灯输入控制端灯输入控制端当做为输出端时,只有当当做为输出端时,只有当A3 A2A1A00000,且灭零输入信号且灭零输入信号RBI 0时,时,BI/RBO 0,输入称灭零输出端:输入称灭零输出端:因此因此BI/RBO 0表示译码器表示译码器将本来应该显将本来应该显示的

48、零熄灭了示的零熄灭了 图图3.3.13为为7448驱动共阴极半导体数码管驱动共阴极半导体数码管BS201A的的工作电路。工作电路。利用利用RBI 和和RBO 的配合,实现多位显示系统的灭零的配合,实现多位显示系统的灭零控制,图控制,图4.3.19为有灭零控制的为有灭零控制的8位数码显示系统位数码显示系统RBO RBIRBIRBORBO RBI图图4.3.19 有灭零控制的有灭零控制的8位数码显示系统位数码显示系统 数据选择其就是在数字信号的传输过程中,从一数据选择其就是在数字信号的传输过程中,从一组数据中选出某一个来送到输出端,也叫多路开关。组数据中选出某一个来送到输出端,也叫多路开关。一、一

49、、数据选择器的工作原理数据选择器的工作原理 现以双现以双4选选1数据选择器数据选择器74HC153为例说明数据选为例说明数据选择器的工作原理择器的工作原理 其内部电路如图其内部电路如图4.3.20所示所示图图4.3.20输出端的逻辑式为输出端的逻辑式为101301120111011011SAADAADAADAADY其中数据选择器的逻辑图形符号如图其中数据选择器的逻辑图形符号如图4.3.21所示其中所示其中之一的数据选择器的逻辑图如图之一的数据选择器的逻辑图如图4.3.22所示所示图图4.3.21其中对于一个数据选择器:其中对于一个数据选择器:数据输入端:1310DD选通地址输入端、:01AA:

50、输出端1Y附加控制端:1S0113011201110110111DY1,0AADAADAADAASS时,当其真值表如下表所示其真值表如下表所示S 1A1A0Y11XX0000D10001D11010D12011D13解:解:“四选一四选一”只有只有2位位地址输入,从四个输入地址输入,从四个输入中选中一个;中选中一个;“八选一八选一”的八个数据需要的八个数据需要3位地址位地址代码指定其中任何一个,代码指定其中任何一个,故利用故利用S 做为第做为第3位地址位地址输入端,其实现电路如输入端,其实现电路如图图4.3.22所示所示例例4.3.4试用双试用双4选选1数据选择器数据选择器74HC153组成组

51、成8选选1数数据选择器。据选择器。图图4.3.22输出端的逻辑式为输出端的逻辑式为7012601250120123012201210120012)()()()()()()()(DAAADAAADAAADAAADAAADAAADAAADAAAY 对于对于4选选1数据选数据选择器,在择器,在S11时,输时,输出于输入的逻辑式为出于输入的逻辑式为 若将若将A1、A0作为两个输入变量,作为两个输入变量,D10D13为第三个变量的输入或其他形式,则可为第三个变量的输入或其他形式,则可由由4选选1数据选择器实现数据选择器实现3变量以下的组合逻变量以下的组合逻辑函数。辑函数。二、二、用数据选择器设计组合逻辑

52、电路用数据选择器设计组合逻辑电路0113011201110110111DY1,0AADAADAADAASS时,当例例4.3.5 分别用分别用4选选1和和8选选1数据选择器实现逻辑函数数据选择器实现逻辑函数 同理,具有同理,具有n位地址输入的数据选择器,可以产生位地址输入的数据选择器,可以产生任何形式输入变量数不大于任何形式输入变量数不大于n1的组合逻辑函数。的组合逻辑函数。ABCCBACABAY解:(解:(1)用四路数据选择器实现)用四路数据选择器实现 若将若将B、C作为地址输入线,作为地址输入线,A或其他形式作为各或其他形式作为各数据的输入端,将所给的逻辑函数表示成最小项之和数据的输入端,将

53、所给的逻辑函数表示成最小项之和地形式,即地形式,即BCACBACBACBBCACBACBACBAAABCCBACBACABCBACBAABCCBABBCACCBAY1)()()(双双4选选1数据选择器数据选择器74HC153的一个的一个4选选1数据选择器数据选择器的输出端逻辑函数为的输出端逻辑函数为0113011201110110111DY1,0AADAADAADAASS时,当则和所给函数相比较则和所给函数相比较得:得:BCACBACBACBY1令令A1=B,A0C,D101,D11D12D13A(2)由由8选选1数据选择器实现数据选择器实现 先将所给逻辑函数写成先将所给逻辑函数写成最小项之和

54、形式,即最小项之和形式,即其电路连线如图其电路连线如图4.3.23所示所示ABCCABCBACBABCACBACBACBAABCCBACBACABCBACBAABCCBABBCACCBAABCCBACABAY11110001)()(8选选1数据选择器数据选择器74HC151的输出端逻辑式为的输出端逻辑式为7012601250120123012201210120012)()()()()()()()(DAAADAAADAAADAAADAAADAAADAAADAAAYABCCABCBACBABCACBACBACBAABCCBACABAY11110001比较上面两式,令比较上面两式,令:A2A,A1B

55、,A0=C,D1D2D3=0,D0D4=D5=D6=D7=1故其外部接线图如图故其外部接线图如图4.3.24所示所示比较上面两式,令比较上面两式,令:A2A,A1B,A0=C,D1D2D3=0,D0D4=D5=D6=D7=1例例4.3.6试用双试用双4选选1数据选择器数据选择器74HC153构成全减器,构成全减器,设设A为被减数,为被减数,B为减数,为减数,CI为低位的借位,为低位的借位,D为差,为差,CO为向高位的借位。为向高位的借位。解:全减器的真值表为解:全减器的真值表为输出端的逻辑式为输出端的逻辑式为IIIIIIIIBCACBACBACBAABCCBACBACBAmmmmD 7421I

56、IIIIIIIOBCCBACBACBABCBCACBACBAmmmmC 107321比较令:比较令:1,0,232221201211131001DADDDADDADDCABAIIIIIIIIIBCACBACBACBAABCCBACBACBAmmmmD 7421IIIIIIIIOBCCBACBACBABCBCACBACBAmmmmC 10732101130112011101101DYAADAADAADAA则电路的连线图如图则电路的连线图如图4.3.25所示所示1,0,232221201211131001DADDDADDADDCABAI一、一、1位加法器位加法器1.半加器半加器 半加器是只考虑两个

57、半加器是只考虑两个1 1位二进制数相加,不考虑位二进制数相加,不考虑低位的进位。低位的进位。其真值表为其真值表为输出端的逻辑式为输出端的逻辑式为输输 入入输输 出出ABSCO0000011010101101ABCOBABABAS其逻辑电路及逻辑符号如图其逻辑电路及逻辑符号如图4.3.26所示所示ABCOBABABAS图图4.3.26 半加器得逻辑电路及逻辑符号半加器得逻辑电路及逻辑符号逻辑电路逻辑电路逻辑符号逻辑符号2.全加器全加器 全家器除了加数和被加数外,全家器除了加数和被加数外,还要考虑低位的进位。其真值表还要考虑低位的进位。其真值表如左表如左表其输出端的逻辑式为其输出端的逻辑式为 输输

58、 入入输输 出出ABCISCO0000000110010100110110010101011100111111)()()(BACIABICAICBBACOCIBAIABCCIBACIBAICBAS 由半加器组成的全加器的逻辑电路和逻辑符号由半加器组成的全加器的逻辑电路和逻辑符号如图如图4.3.27所示所示)(BACIABCOCIBAS 双全加器双全加器74LS183的内部电路是按下式构建的,的内部电路是按下式构建的,如图如图4.3.27所示所示)()(ICAICBBACOIABCCIBACIBAICBAS图图4.3.27二二、多位加法器、多位加法器1.串行进位加法器(行波进位加法器)串行进位加

59、法器(行波进位加法器)图图4.3.28所示电路为所示电路为4位全加器,由于低位的进位位全加器,由于低位的进位输出接到高位的进位输入,故为串行进位加法器。输出接到高位的进位输入,故为串行进位加法器。两个多位二进制数相加,必须利用全加器,两个多位二进制数相加,必须利用全加器,1位二位二进制数相加用进制数相加用1个全加器,个全加器,n 位二进制数相加用位二进制数相加用n个全加个全加器。只要将低位的进位输出接到高位的进位输入器。只要将低位的进位输出接到高位的进位输入图图4.3.28 串行进位加法器结构简单,但运算速度慢。应用串行进位加法器结构简单,但运算速度慢。应用在对运算速度要求不高的场合。在对运算

60、速度要求不高的场合。T692就是这种串行进就是这种串行进位加法器。位加法器。iiiiiiiiiiiiCIBABACOCIBASCOCI)()()()()(1图图4.3.28输出逻辑式为输出逻辑式为2.超前进位加法器超前进位加法器 为了提高速度,若使进位信号不逐级传递,而是运为了提高速度,若使进位信号不逐级传递,而是运算开始时,即可得到各位的进位信号,采用这个原理算开始时,即可得到各位的进位信号,采用这个原理构成的加法器,就是超前进位(构成的加法器,就是超前进位(Carry Lookahead)加法器,也成快速进位(加法器,也成快速进位(Fast carry)加法器。加法器。输输 入入输输 出出

61、ABCISCO0000000110010100110110010101011100111111 由全加器真值表可知,由全加器真值表可知,高位的进位信号的产生是在高位的进位信号的产生是在两种情况下:两种情况下:在在AB1;在在AB1且且CI1。故。故向高位的进位信号为向高位的进位信号为iiiiiiCIBABACO)()(设设GiAiBi为进位生成函数,为进位生成函数,Pi AiBi为进位传递函为进位传递函数,则上式可写成数,则上式可写成iiiiiiCIBABACO)()(0021012121122211111)()()()()(CIPPPPGPPPPGPPGPGCIPGPPGPGCIPGPGCI

62、PGCOiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiCIBAS)(和为:和为:74LS283就是采用就是采用这种超前进位的原理构这种超前进位的原理构成的成的4 位超前进位加法器,位超前进位加法器,其内部电路如图其内部电路如图4.3.29所所示示图图4.3.29以以i0和和i1为例为例(A0+B0)(A0 B0)(A1+B1)(A1 B1)(A0 B0)(A0+B0)(A1 B1)(A1+B1)(A0+B0)+(A0 B0)CI)10000000001)()()()()(CICOCIBABACIBABAY111111111)()()()(CIBACIBABAS逻辑图形符号如

63、图逻辑图形符号如图4.3.30所示。所示。超前进位加法器提高了运算速度,但超前进位加法器提高了运算速度,但同时增加了电路的复杂性,而且位数越多,同时增加了电路的复杂性,而且位数越多,电路就越复杂。电路就越复杂。其中:其中:A3A0为一个四位二进制为一个四位二进制数的输入;数的输入;B3B0为另一个二进为另一个二进制数的输入;制数的输入;CI为最低位的进为最低位的进位;位;CO是最高位的进位;是最高位的进位;S3S0为各位相加后的和。为各位相加后的和。三三、用加法器设计组合逻辑电路、用加法器设计组合逻辑电路 如果能将要产生的逻辑函数能化成输入变量与输如果能将要产生的逻辑函数能化成输入变量与输入变

64、量相加,或者输入变量与常量相加,则用加法器入变量相加,或者输入变量与常量相加,则用加法器实现这样逻辑功能的电路常常是比较简单。实现这样逻辑功能的电路常常是比较简单。例例4.3.7 利用利用4位超前进位加法器位超前进位加法器74LS283器件组成的电器件组成的电路如图路如图4.3.31所示,试分析电路所能完成的逻辑功能。所示,试分析电路所能完成的逻辑功能。解:写出各输入端的逻辑式解:写出各输入端的逻辑式0BBBB,:)2(28374,:)1(28374012307017127237347057167273两片的DDADDADDADDALSDDADDADDADALS则当则当Y70时,时,74LS2

65、83(1):A30,A2D6,A1=D5,A0D4,74LS283(2):A3D3,A2D2,A1=D1,A0D0,CI=0,做加法后和做加法后和为为 Y7Y0=0D6D0.则当则当Y71时,时,74LS283(1):A31,A2D 6,A1=D 5,A0D 4,74LS283(2):A3D 3,A2D 2,A1=D 1,A0D 0,CI=1,做加法后和为做加法后和为 Y7Y0=1D 6D 0 +1,故此电路是一个带符号位的二进制求补码电故此电路是一个带符号位的二进制求补码电路,路,Y7为符号位,输入二进制数码为为符号位,输入二进制数码为D6D0.例例4.3.8 将将BCD的的8421码转换为

66、余码转换为余3码码输输 入入输输 出出DCBAY3Y2Y1Y000000011000101000010010100110110010001110101100001101001011110101000101110011100解:其真值表如右表所解:其真值表如右表所示,则示,则00110123 DCBAYYYY故实现的电路如图故实现的电路如图4.3.32所所示示图图4.3.32实现比较两个数值大小的逻辑电路即为比较器。实现比较两个数值大小的逻辑电路即为比较器。一一、1位数值比较器位数值比较器设有一位二进制数设有一位二进制数A和和B比较有三种可能结果比较有三种可能结果)(),10,(,1)1,0(,1)0,1()()()(BAYBABABAYBABABABAYBABABABABABA或同为则则实现的电路如图实现的电路如图4.3.33所示所示图图4.3.33二二、多位数值比较器多位数值比较器例如:比较两个例如:比较两个4为二进制数为二进制数A3 A2 A1 A0和和B3 B2 B1 B0,输出为输出为Y(AB)、Y(A=B)和和Y(AB)。则。则 在比较两个多位数的大小时,必须自高位向低位在比

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!