电子科技大学22春“电子信息工程”《EDA技术》作业考核易错、难点汇编⑥(带答案)试卷号:3

上传人:住在****帅小... 文档编号:150722883 上传时间:2022-09-10 格式:DOCX 页数:14 大小:18.20KB
收藏 版权申诉 举报 下载
电子科技大学22春“电子信息工程”《EDA技术》作业考核易错、难点汇编⑥(带答案)试卷号:3_第1页
第1页 / 共14页
电子科技大学22春“电子信息工程”《EDA技术》作业考核易错、难点汇编⑥(带答案)试卷号:3_第2页
第2页 / 共14页
电子科技大学22春“电子信息工程”《EDA技术》作业考核易错、难点汇编⑥(带答案)试卷号:3_第3页
第3页 / 共14页
资源描述:

《电子科技大学22春“电子信息工程”《EDA技术》作业考核易错、难点汇编⑥(带答案)试卷号:3》由会员分享,可在线阅读,更多相关《电子科技大学22春“电子信息工程”《EDA技术》作业考核易错、难点汇编⑥(带答案)试卷号:3(14页珍藏版)》请在装配图网上搜索。

1、书山有路勤为径,学海无涯苦作舟! 住在富人区的她电子科技大学22春“电子信息工程”EDA技术作业考核易错、难点汇编(带答案)一.综合考核(共45题)1.在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为()。A.仿真器B.综合器C.适配器D.下载器参考答案:D2.EDA设计流程包括()、设计输入、设计处理和器件编程四个步骤。A.设计准备B.总体设计C.详细设计D.设计数据参考答案:A3.EDA的中文含义是()。A.电子设计自动化B.计算机辅助计算C.计算机辅助教学D.计算机辅助制造参考答案:A4.MAXPLUSII中VHDL文件名必须和()名相同。A.项目、结构体B.实体、结构体

2、C.项目、实体D.结构体参考答案:C5.EDA的设计验证包括功能仿真、()和器件测试三个过程。A.形式仿真B.时序仿真C.数值仿真D.行为仿真参考答案:B6.MAX+PLUS II的设计文件不能直接保存在()。A.工程目录B.文件夹C.根目录D.U盘参考答案:C7.EDA设计流程包括设计准备、()、设计处理和器件编程四个步骤。A.总体设计B.设计输入C.详细设计D.设计数据参考答案:B8.变量和信号的描述正确的是()。A.变量赋值符号是:=B.信号赋值符号是:=C.变量赋值符号是D.二者没有区别参考答案:A9.在VHDL中,()的数据传输不是立即发生的,目标信号的赋值是需要一定延时时间。A.信

3、号B.常量C.数据D.变量参考答案:A10.“a=4b1100,b=4bx110”选出正确的运算结果()。A.a&b=0B.a&b=1C.b&a=xD.b&a=x参考答案:B11.在元件例化语句中,用()符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP中的信号名关联起来。A.=B.:=C.D.=参考答案:D12.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的()。A.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次

4、化设计参考答案:B13.一个完整的VHDL程序,至少应包括三个基本组成部分是()。A.实体、子程序、配置B.实体、结构体、配置、函数C.结构体、状态机、程序包和库D.实体、结构体、程序包和库参考答案:D14.EDA工具不包括()等模块。A.HDL综合器B.控制器C.适配器D.下载器参考答案:B15.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:()。A.IEEE库B.VITAL库C.STD库D.WORK工作库参考答案:D16.下面哪一条命令是MAXPLUSII在时序仿真时执行加载节点的命令?()A.file-set project to current fileB.a

5、ssign-pin/location chipC.node-enter node from SNFD.file-create default symbol参考答案:C17.MAX+PLUSII的设计文件不能直接保存在()。A.工程目录B.文件夹C.根目录D.U盘参考答案:C18.过程调用前需要将过程首和过程体装入()中。A.源程序B.结构体C.程序包D.设计实体参考答案:C19.文本输入方式是指采用()进行电路设计的方式。A.CB.硬件描述语言C.CD.JAVA参考答案:B20.下列关于变量的说法正确的是()。A.变量是一个局部量,它只能在进程和子程序中使用B.变量的赋值不是立即发生的,它需要

6、有一个延时C.在进程的敏感信号表中,既可以使用信号,也可以使用变量D.变量赋值的一般表达式为目标变量名表达式参考答案:A21.进程中的信号赋值语句,其信号更新是()。A.按顺序完成B.比变量更快完成C.在进程的最后完成D.以上都不对参考答案:C22.下面对利用原理图输入设计方法进行数字电路系统设计的描述中,哪一种说法是不正确的()。A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法也可进行层次化设计参考答案:C23.Altera FLEX 10K 系列器件中的EA

7、B大小为()位。A.256B.512C.1024D.2048参考答案:D24.如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则BA的值为()。A.100011B.011100C.110011D.010011参考答案:B25.符合1988VHDL标准的标识符是()。A.a_2_3B.a_2C.2_2_aD.2a参考答案:A26.在VHDL标识符命名规则中,以()开头的标识符是正确的。A.字母B.数字C.字母或数字D.下划线参考答案:A27.变量是局部量,可以写在()。A.实体中B.进程中C.线粒体D.种子体中参考答案:B28.MAXPLUS的

8、文本文件类型是(后缀名)是()。A.*.scfB.*.vhdC.*.gdfD.*.sof参考答案:B29.下例程序执行后,X和Y的值分别为()。Process(A,B,C) variable D:std_logic; begin D:=A; XA.B+C和B+AB.B+A和B+CC.B+C和B+CD.B+A和B+A参考答案:B30.顺序语句中的转向控制语句包括()。A.if 语句、case 语句、return 语句、Exit 语句B.if 语句、case 语句、Loop 语句、Next 语句、Exit 语句C.if 语句、case 语句、Loop 语句、return 语句D.case 语句、L

9、oop 语句、Next 语句、null 语句参考答案:B31.在VHDL语言中,下列对时钟边沿检测描述中,错误的是()。A.if clkevent and clk=1 thenB.if falling_edge(clk) thenC.if clkevent and clk=0 thenD.if clkstable and not clk=1 then参考答案:D32.一般把EDA技术的发展分为()个阶段。A.5B.4C.3D.2参考答案:C33.CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个()。A.PALB.GALC.FPGAD.EPROM参考答案:B34.下面关于信号和变量的比较

10、,错误的是()。A.信号赋值可以有延迟时间B.变量赋值无时间延迟C.变量可以看作硬件的一根连线D.进程对信号敏感参考答案:C35.在VHDL中,()的数据传输是立即发生的,不存在任何延时的行为。A.信号B.常量C.数据D.变量参考答案:D36.VHDL的语言要素包括以下几类()。A.数据对象、数据类型、操作数、操作符B.数据对象、结构体、操作数、操作符C.实体、数据类型、操作数、操作符D.数据对象、配置、操作数、重载操作符参考答案:A37.值为“1110”的标准逻辑矢量,进行sla运算后值为()。A.1100B.1101C.1110D.1000参考答案:B38.“a=4b1100,b=4bx1

11、10”选出正确的运算结果()。A.a&b=0B.a&b=1C.b&a=xD.b&a=x参考答案:B39.不符合1989VHDL标准的标识符是()。A.a_1_inB.a_in_2C.2_aD.asd_1参考答案:C40.VHDL语言中变量定义的位置是()。A.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置参考答案:D41.VHDL程序基本结构包括()。A.实体、子程序、配置B.实体、结构体、配置、函数C.结构体、状态机、程序包和库D.实体、结构体、程序包和库参考答案:D42.假设变量初值为:a=2,b=4,则以下程序执行后,a和b的值分别为()architecture

12、 rtl of example is begin process variable a,b:std_logic; begin a:=b;b:=a;end process;end rtl。A.2,2B.2,4C.4,2D.4,4参考答案:D43.VHDL文本编辑中编译时出现如下的报错信息Error:Line1,File e:/muxfile/mux21.tdf:TDF syntax error其错误原因是()。A.错将设计文件的后缀写成.tdf而非.vhdB.错将设计文件存入了根目录,并将其设定成工程C.设计文件的文件名与实体名不一致D.程序中缺少关键词参考答案:A44.不符合1992VHDL标准的标识符是()。A.a1b1B.a_2_3C.a123D.on参考答案:D45.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库()。A.IEEE库B.VITAL库C.STD库D.WORK工作库参考答案:D

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!