EDA实验报告两位十六进制计数器

上传人:痛*** 文档编号:150470108 上传时间:2022-09-09 格式:DOC 页数:4 大小:243KB
收藏 版权申诉 举报 下载
EDA实验报告两位十六进制计数器_第1页
第1页 / 共4页
EDA实验报告两位十六进制计数器_第2页
第2页 / 共4页
EDA实验报告两位十六进制计数器_第3页
第3页 / 共4页
资源描述:

《EDA实验报告两位十六进制计数器》由会员分享,可在线阅读,更多相关《EDA实验报告两位十六进制计数器(4页珍藏版)》请在装配图网上搜索。

1、实验二 两位十六进制计数器一、 实验目的1、 继续熟悉Quartus环境2、 熟练掌握VHDL语言设计流程3、 了解Verilog语言的基本使用4、 熟悉DE2开发板上的时钟信号就LED显示器的使用二、 实验任务1、 完成第三章最后的实例,用7段数码管显示两位16进制数,clk输入用FPGA上的50M信号2、 用Verilog HDL实现SW输入4位二进制数,用7段数码管按十进制显示输出同时用LED灯显示三、 实验步骤1、 用VHDL实现两位16进制计数器(1) 新建VHDL源文件,命名为cn4e.vhd,设计实现一位16进制计数器,其代码如图2-1所示。 图2-1 图2-2(2) 新建VHD

2、L源文件,命名为vhdl2s,设计实现七段数码管译码器,其代码如图2-2所示(3) 新建VHDL源文件,命名为fenpin,设计实现分频电路,将输入的50MHz的时钟信号变为1Hz的时钟信号,其代码如图2-3所示 图2-3 图2-4(4) 新建VHDL源文件,命名为my_pkg,将上三步实现的原件例化到my_pkg程序包中,方便以后使用,其代码如图2-4所示。(5) 新建VHDL源文件,命名为counter16,利用已经例化的三个原件实现两位16进制计数器及输出到七段数码管显示的电路(如图2-5),保存后将其设置为顶层文件,编译查看是否有错误。图2-5(6) 新建波形文件,赋予每个输入端口某种

3、输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。若不正确,查找问题所在并解决问题;若正确,则进行管脚分配,分配完毕后再编译一次使分配生效,连接DE2开发板到电脑,将文件下载到开发板进行验证。2、 用Verilog实现十进制数显示(1) 新建Verilog源文件,实现SW输入4位二进制数,用7段数码管按十进制显示输出同时用LED灯显示,代码如图2-6所示图2-6(2) 编译成功后,新建波形文件,赋予每个输入端口某种输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。若不正确,查找问题所在并解决问题;若正确,则进行管脚分配,分配完毕后再编译一次使分配生效,连接DE2开发板到电脑,将文件下载到开发板进行验证。四、 实验现象1、 两位16进制计数器代码下载到开发板之后,会看到1号和0号七段数码管每隔1秒就显示一个数字,从00到FF,周而复始。2、 将显示十进制数程序下载到开发板,拨动SW30,与之对应的红色LED灯就会亮起,5号和4号七段数码管显示与这个二进制数对应的十进制数。中国石油大学(华东)实 验 报 告 课题名称 EDA设计 实验项目名称 实验二 两位十六进制计数器 专业 计算机科学与技术 姓名 孙文吉

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!