计数器与分频器VHDL源程序

上传人:d**** 文档编号:149400371 上传时间:2022-09-07 格式:DOCX 页数:3 大小:9.53KB
收藏 版权申诉 举报 下载
计数器与分频器VHDL源程序_第1页
第1页 / 共3页
计数器与分频器VHDL源程序_第2页
第2页 / 共3页
计数器与分频器VHDL源程序_第3页
第3页 / 共3页
资源描述:

《计数器与分频器VHDL源程序》由会员分享,可在线阅读,更多相关《计数器与分频器VHDL源程序(3页珍藏版)》请在装配图网上搜索。

1、计数器与分频器VHDL源程序1. 通用计数器(可赋初值、模数可调、触发边沿可选、双向计数、具有使能端): library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity countn isgeneric(N: integer:=10); -N 为正整数port(clk,dir: in std_logic;reset: in std_logic;enable: in std_logic;q: out integer range 0 to N-1);end entity;architecture rtl of cou

2、ntn isbeginprocess (clk)variablecnt: integer range 0 to N-1;-定义中间变量beginif reset = 1 thencnt := 0;-赋初值 0elsif enable = 1 thenif(clkevent and clk=1) then -上升沿计数if dir=1 then -加法计数if(cnt0 ) thencnt:= cnt-1;elsecnt := N-1;end if;end if;end if;end if;q = cnt;-输出计数值end process;end rtl;2. 占空比和分频系数可调的分频器(占

3、空比50%的奇数分频器除外):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fdivn isgeneric(n: integer:=10;m: integer:=3 -分频系数=n,占空比m:n; m,n为正整数,mn, n为奇数时m不能等于1/2 n );port(clkin: in std_logic;clkout: out std_logic);end fdivn;architecture a of fdivn iss

4、ignal cnt: integer range 0 to n-1;-定义中间信号beginprocess(clkin)beginif(clkinevent and clkin=1) then -模 n 加法计数if(cntn-1) thencnt = cnt+1;elsecnt = 0;end if;end if;end process;clkout = 1 when cntm else - cntm时输出高电平,否则输出低电平0;end a;3. 占空比50%的奇数分频器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_

5、unsigned.all;use ieee.std_logic_arith.all;entity fdivo isgeneric(N: integer:=5);-rate=N,N 是奇数port(clkin : in std_logic;clkout : out std_logic);end fdivo;architecture a of fdivo issignal cntl, cnt2: integer range 0 to N-1;-定义中间信号 beginprocess(clkin)beginif(clkinevent and clkin=1) then -上升沿计数 if(cnt1N-1) then cnt1 = cnt1+1;elsecnt1 = 0;end if;end if;end process;process(clkin)beginif(clkinevent and clkin=0) then -下降沿计数 if(cnt2N-1) then cnt2 = cnt2+1;elsecnt2 = 0;end if;end if;end process;clkout = 1 when cnt1(N-1)/2 or cnt2(N-1)/2 else 0;end a;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!