数字电子技术第8章存储器与可编程逻辑器件习题及答案doc

上传人:痛*** 文档编号:149195451 上传时间:2022-09-06 格式:DOC 页数:19 大小:582KB
收藏 版权申诉 举报 下载
数字电子技术第8章存储器与可编程逻辑器件习题及答案doc_第1页
第1页 / 共19页
数字电子技术第8章存储器与可编程逻辑器件习题及答案doc_第2页
第2页 / 共19页
数字电子技术第8章存储器与可编程逻辑器件习题及答案doc_第3页
第3页 / 共19页
资源描述:

《数字电子技术第8章存储器与可编程逻辑器件习题及答案doc》由会员分享,可在线阅读,更多相关《数字电子技术第8章存储器与可编程逻辑器件习题及答案doc(19页珍藏版)》请在装配图网上搜索。

1、 第8章存储器与可编程逻辑器件8.1存储器概述自测练习1 存储器中可以保存的最小数据单位是( )。 (a) 位 (b) 字节 (c) 字2 指出下列存储器各有多少个基本存储单元?多少存储单元?多少字?字长多少?(a) 2K8位 ( )( )( )( )(b) 2562位 ( )( )( )( )(c) 1M4位 ( )( )( )( )3 ROM是( )存储器。 (a)非易失性 (b)易失性 (c)读/写 (d) 以字节组织的4数据通过( )存储在存储器中。(a)读操作 (b)启动操作 (c)写操作 (d) 寻址操作5RAM给定地址中存储的数据在( )情况下会丢失。 (a)电源关闭 (b)数据

2、从该地址读出 (c)在该地址写入数据 (d)答案(a)和(c)6具有256个地址的存储器有()地址线。(a)条 (b)条 (c)8条 (d)16条7可以存储字节数据的存储容量是()。(a)位 (b)位 (c)位 (d)位答案:1 a2(a) 20488;2048;2048;8 (b) 512;256;256;2 (c) 102410244;10241024;10241024;43a4c5d6c7b8.2随机存取存储器(RAM) 自测练习1. 动态存储器(DRAM)存储单元是利用( )存储信息的,静态存储器(SRAM)存储单元是利用( )存储信息的。2. 为了不丢失信息,DRAM必须定期进行(

3、)操作。3. 半导体存储器按读、写功能可分成( )和( )两大类。4. RAM电路通常由( )、( )和( )三部分组成。5. 6116RAM有( )根地址线,( )根数据线,其存储容量为( )位。答案:1栅极电容,触发器2刷新3只读存储器,读/写存储器4地址译码,存储矩阵,读/写控制电路511,8,2K8位8.3 只读存储器(ROM)自测练习1 ROM可分为( )、( )、( )和( )几种类型。2 ROM只读存储器的电路结构中包含( )、( )和( )共三个组成部分。3 若将存储器的地址输入作为( ),将数据输出作为( ),则存储器可实现组合逻辑电路的功能。4 掩膜ROM可实现的逻辑函数表

4、达式形式是( )。5 28256 型EEPROM有( )根地址线,( )根数据线,其存储容量为( )位,是以字节数据存储信息的。6 EPROM是利用( )擦除数据的,EEPROM是利用( )擦除数据的。7 PROM/EPROM/EEPROM 分别代表( )。8一个PROM/EPROM能写入( )(许多,一)次程序。9存储器2732A是一个( )(EPROM,RAM)。10在微机中,4种存储类型为( )。答案:1ROM,PROM,EPROM,EEPROM2存储矩阵,地址译码,输出控制电路3输入,输出4标准与或形式(最小项表达式)515,8,32K8 6紫外线,电7可编程的只读存储器,可擦可编程的

5、只读存储器,电可擦可编程的只读存储器8一次/许多9EPROM10寄存器,高速缓存,主存,外存8.4 快闪存储器(Flash Memory)自测练习1 非易失性存储器有( )。(a)ROM和RAM (b)ROM和闪存 (c)闪存和RAM2 Flash Memory的基本存储单元电路由( )构成,它是利用( )保存信息,具有( )性的特点。3 Flash Memory 28F256有( )和( )两种操作方式。4 从功能上看,闪存是( )存储器,从基本工作原理上看,闪存是( )存储器。5 Flash28F256有( )根地址线,( )根数据线,其存储容量为 ( )位,编程操作是按字节编程的。答案:

6、1b2一个浮栅MOS管,浮栅上的电荷,非易失3只读存储方式,读/写存储方式4RAM,ROM515,8,32K8 8.5存储器的扩展自测练习1 存储器的扩展有( )和( )两种方法。2 如果用2K16位的存储器构成16K32位的存储器,需要( )片。 (a) 4 (b) 8 (c) 163 用4片2564位的存储器可构成容量为( )位的存储器。4 若将4片6116 RAM扩展成容量为4K16位的存储器,需要( )根地址线。(a) 10 (b) 11 (c) 12 (d)135 将多片1K4位的存储器扩展成8K4位的存储器是进行( )扩展;若扩展成1K16位的存储器是进行( )扩展。6 的存储器有

7、()根数据线,()根地址线,若该存储器的起始地址为,则最高地址为(),欲将该存储器扩展为的存储系统,需要的存储器()个。答案:1字扩展,位扩展2C325616/1K44C5字,位64,8,FF,8 8.6 可编程阵列逻辑PAL自测练习1 PAL的常用输出结构有( )、( )、( )和 ( )4种。2 字母PAL代表( )。3 PAL与PROM、EPROM之间的区别是( )。(a)PAL的与阵列可充分利用(b)PAL可实现组合和时序逻辑电路(c)PROM和EPROM可实现任何形式的组合逻辑电路4 具有一个可编程的与阵列和一个固定的或阵列的PLD为( )。(a)PROM (b)PLA (c)PAL

8、5 一个三态缓冲器的三种输出状态为( )。(a)高电平、低电平、接地 (b)高电平、低电平、高阻态(c)高电平、低电平、中间状态6 查阅资料,确定下面各PAL器件的输入端个数、输出端个数及输出类型。 (a)PAL12H6 ( )( )( )(b)PAL20P8 ( )( )( ) (c)PAL16L8 ( )( )( )答案:1输出结构,可编程输入/输出结构,寄存器输出结构,异或输出结构2可编程阵列逻辑3B4C5B6(a)12,6,高电平 (b)20,8,可编程极性输出 (c)16,8,低电平8.7 通用阵列逻辑GAL自测练习1GAL具有( )(a)一个可编程的与阵列、一个固定的或阵列和可编程

9、输出逻辑(b)一个固定的与阵列和一个可编程的或阵列(c)一次性可编程与或阵列(d)可编程的与或阵列2GAL16V8具有( )种工作模式。3GAL16V8在简单模式工作下有( )种不同的OLMC配置;在寄存器模式工作下有( )种不同的OLMC配置;在复杂模式工作下有( )种不同的OLMC配置。4GAL16V8具有( )。(a)16个专用输入和8个输出(b)8个专用输入和8个输出(c)8个专用输入和8个输入/输出(d)10个专用输入和8个输出5如果一个GAL16V8需要10个输入,那么,其输出端的个数最多是( )。(a)8个 (b)6个 (c)4个6若用GAL16V8的一个输出端来实现组合逻辑函数

10、,那么此函数可以是( )与项之和的表达式。(a)16个 (b)8个 (c)10个7与、或、非、异或逻辑运算的ABEL表示法分别为( )。8逻辑表达式用ABEL语言描述时,应写为( )。答案:1A2333,2,24B专用输入,专用组合输出,复合输入/输出(I/O),寄存器组合I/O,寄存器输出5C687B8&,#,!,$9A&B#A&!B#!A&B8.8 CPLD、FPGA和在系统编程技术简介自测练习1PLD器件的设计一般可分为( )、( )和( )三个步骤以及 ( )、 ( ) 和( ) 三个设计验证过程.2ISP表示( )。(a)在系统编程的(b)集成系统编程的(c)集成硅片程序编制器3CP

11、LD表示( )。(a)简单可编程逻辑阵列 (b)可编程交互连接阵列(c)复杂可编程逻辑阵列 (d)现场可编程逻辑阵列4FPGA是( )。(a)快速可编程门阵列 (b)现场可编程门阵列(c)文档可编程门阵列 (d)复杂可编程门阵列5FPGA是采用( )技术实现互连的。()熔丝()CMOS()EECMOS (d)SRAM6PLD的开发需要有( )的支持。(a)硬件和相应的开发软件(b)硬件和专用的编程语言(c)开发软件(d)专用的编程语言答案:1 设计输入,设计实现,编程,功能仿真,时序仿真,测试2 a3 c4 b5 d6 a习题8 存储器有哪些分类?各有何特点?8 ROM和RAM的主要区别是什么

12、?它们各适用于哪些场合?8 静态存储器SRAM和动态存储器DRAM在电路结构和读写操作上有何不同?8 Flash Memory有何特点和用途?它和其它存储器比较有什么不同?8 某台计算机系统的内存储器设置有20位的地址线,16位的并行输入/输出端,试计算它的最大存储容量?8 试用4片2114(10244位的RAM)和3-8译码器组成40964位的存储器8 试用4片2114RAM连接成2K8位的存储器。8 PROM实现的组合逻辑函数如图P88所示。(1) 分析电路功能,说明当ABC取何值时,函数F1=F2=1;(2) 当ABC取何值时,函数F1=F2=0。 W0 W1 W2 W3 W4 W5 W

13、6 W7 1F1F2ABC图P888 用PROM实现全加器,画出阵列图,确定PROM的容量。8 用PROM实现下列多输出函数,画出阵列图。F1=+ABDF2=+F3=+F4=8 PAL器件的结构有什么特点?8 描述PAL与PROM、EPROM之间的区别。8 任何一个组合逻辑电路都可以用一个PAL来实现吗?为什么?8 选用适当的PAL器件设计一个3位二进制可逆计数器。当X=0时,实现加法计数;当X=1时,实现减法计数。8 为什么GAL能取代大多数的PAL器件? 8 试用GAL16V8实现一个8421码十进制计数器。习题解答:81存储器有哪些分类?各有何特点?(基本题,第1、2、3、4节)答:半导

14、体存储器可分类为:ROM、RAM和Flash存储器。ROM属于非易失性存储器,断电后所存数据不丢失。ROM又可分为:掩膜ROM、PROM、EPROM和EEPROM。掩模ROM和PROM是一次性编程的,EPROM和EEPROM是可以重复编程的。掩模ROM、PROM和EPROM在正常工作时,所存数据是固定不变的,只能读出,不能写入。只有EEPROM在正常工作时所存数据是可以读出,也可以写入。RAM也称为读/写存储器,是易失性存储器,断电后所存数据全部丢失。在正常工作时可以随时读出,也可以随时写入,因而使用灵活,读写方便。RAM分静态(SRAM)和动态(DRAM)存储器,它们的不同的特点是:DRAM

15、需要刷新电路保存数据,而SRAM不需要。Flash闪存是理想的大容量、非易失性和可读可写的存储器,且存储速度较快,读写方便。所存数据在没有电源的情况下可以无限定地保存下来。82 ROM和RAM的主要区别是什么?它们各适用于哪些场合?(基本题,第1、2、3节) 答:ROM和RAM的主要区别是:ROM属于非易失性存储器,断电后所存数据不丢失;而RAM是易失性存储器,断电后所存数据全部丢失。 ROM通常用来存放不需要经常修改的程序或数据,如计算机系统中的BIOS程序、系统监控程序、显示器字符发生器中的点阵代码等。静态RAM存储电路由于MOS管较多,集成度不高,但不需要刷新电路,外部控制逻辑电路简单,

16、且存取速度比动态RAM快,因而通常用作微型计算机系统中的高速缓存(Cache)。动态RAM与静态RAM相比,其基本存储单元所用的MOS管少,存储密度高、功耗低。但存取速度比静态RAM慢,需要定时刷新。但由于DRAM的高存储密度、低功耗及价格便宜等突出优点,使之非常适用于在需要大容量的系统中用作主存储器。现代计算机均采用各种类型的DRAM作为可读写主存。8 静态存储器SRAM和动态存储器DRAM在电路结构和读写操作上有何不同?(基本题,第、2、3节) 答: SRAM和DRAM在电路结构上的不同是:DRAM电路中有刷新电路,而SRAM没有。这是因为DRAM电路是利用栅极电容保存信息的,而电容存在漏

17、电效应,为保证信息不因漏电丢失,所以必须定期对电路进行刷新。SRAM和DRAM的读/写操作由片选信号、读/写信号(和输出允许信号)控制。当=0时,RAM为正常工作状态,若=1,则执行读操作,存储单元里的数据将送到输入/输出端上;若=0,则执行写操作,加到输入/输出端上的数据将写入存储单元;当=1时,RAM的输入/输出端呈高阻状态,即不能对RAM进行读/写操作。所不同的是对于动态存储器DRAM的每一次的读/写操作实质上是对单管动态存储电路信息的一次恢复或增强。84 说明Flash Memory有何特点和用途。它和其它存储器比较有什么不同?(基本题,第4节)答:Flash Memory是一种具有较

18、高存储容量、较低价格、可在线擦除与编程的新一代读写存储器,从基本工作原理上看,闪存属于ROM型存储器,但由于它又可以随时改写其中的信息,所以从功能上看,它又相当于随机存储器RAM。从这个意义上说,传统的ROM与RAM的界限和区别在闪存上已不明显。它的这些独特性能使其广泛应用于包括嵌入式系统、仪器仪表、汽车器件以及数码影音产品中。 Flash Memory和其它存储器比较其不同点可通过下表体现:内存类型非易失性高密度一个晶体管单元系统内部写能力闪存是是是是SRAM不是不是不是是DRAM不是是是是ROM是是是不是EPROM是是是不是EEPROM是不是不是是85 某台计算机系统的内存储器设置有20位

19、的地址线,16位的并行输入/输出端,试计算它的最大存储容量? (基本题,第1节) 答:它的最大存储容量为:22016位=1M16位I/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSI/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSI/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSI/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSI/O0I/O1I/O2I/O33-8译码器A0A 1A9A10A110100A Y0B Y1C Y2G1 Y3 Y786 试用4片2114(

20、10244位的RAM)和3-8译码器组成40964位的存储器。 解:将4片2114扩展成40964位的存储器,只须字扩展,位不变,地址线为12个,其中低10位作为2114的地址输入。由于译码器要求采用3-8译码器,故译码器的地址输入端只有两位A10A11,高位设置为0,另外的3个控制信号应如图所示。(综合题,第5节)87 试用4片2114 RAM连接成2K8位的存储器。(综合题,第5节)解:将4片2114扩展成2K8位的存储器,字位均需扩展,即先进行位扩展,再进行字扩展。位扩展时,将4片2114分成2组,每组2片,2片2114的地址线、均连在一起,数据输入/输出线并行作为输入/输出线;再将2组

21、进行字扩展,扩展时,地址线的低10位与2组的地址线相连,高位地址接其中一组的片选,再经一非门接另一组的片选,所有的2114的接在一起,2组的数据输入/输出线对应连在一起作为扩展后的数据输入/输出线。 I/O0I/O1I/O2I/O3 I/O4I/O5I/O6I/O7I/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSI/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSI/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSI/O0 I/O1 I/O2 I/O32114 RAMA0 A1A9 R/W CSA0A

22、 1A9A1018 PROM实现的组合逻辑函数如图P88所示。(综合题,第3节)分析:(1)说明当ABC取何值时,函数F1=F2=1;(2) 当ABC取何值时,函数F1=F2=0。 W0 W1 W2 W3 W4 W5 W6 W7 1F1F2ABC图P88解:根据PROM的点阵图可写出输出函数:F1= m0+m1+m3+m5F2= m3+m5 +m6+m7可知(1)当ABC=011或ABC=101时,F1=F2=1(2)当ABC=010或ABC=100时,F1=F2=089 用PROM实现全加器,画出阵列图,确定PROM的容量。(综合题,第1、3节) 解:列全加器真值表如下 Ai Bi C i-

23、1Si C i+10 0 00 00 0 11 00 1 01 00 1 10 11 0 01 01 0 10 11 1 00 11 1 11 1 根据真值表可得输出函数 Si = m1+m2+m4+m7C i+1= m3+m5+m6+m7 其点阵图如下,PROM的容量为82位。 W0 W1 W2 W3 W4 W5 W6 W7 1SiCi+1AiBiC i-1810 用PROM实现下列多输出函数,画出阵列图。(综合题,第3节)F1=+ +ABDF2=+F3=+F4= 解:由于PROM实现的逻辑函数的形式为最小项形式,首先将输出函数转化成最小项形式,即有: F1=+ABD=m(0,2,3,7,1

24、0,11,14,15)F2=+=m(0,2,4,6,9,10,11,12,14)F3=+=m(1,5,10,11,12,)F4= =m(0,2,5,7,8,10,11,13,15)故可选用164位的PROM,如图习题810点阵图所示。F1F2F3F4 W0 W1 W2 W3 W4 W5 W6 W7 W8 W9 W10 W11 W12 W 13 W14 W151习题810点阵图811 PAL器件的结构有什么特点?(基本题,第6节)答:PAL器件的结构由可编程的与阵列、固定的或阵列和可编程的输出逻辑电路三部分组成。其输出逻辑可分为多种输出及反馈电路,因而构成了各种型号的PAL器件。根据PAL器件的

25、输出结构和反馈电路的不同,可将它们大致分成专用输出结构、可编程输入/输出结构、寄存器输出结构、异或输出结构等几种类型。在实际应用中,可根据具体的要求不同,选用不同的输出结构的PAL器件。812 描述PAL与PROM、EPROM之间的区别。(综合题,第3、6节)答:区别是PROM和EPROM由固定的与阵列和可编程的或阵列构成,而PAL是由可编程的与阵列、固定的或阵列和可编程输出逻辑电路三部分组成,因此PROM和EPROM只能实现组合逻辑电路,而PAL由于有可编程的输出逻辑电路,不仅可以实现组合逻辑电路,而且可以实现时序逻辑电路。813 任何一个组合逻辑电路都可以用一个PAL来实现吗?为什么?(基

26、本题,第6节)答:不可以,一个PAL的输入变量是一定的,所以PAL的应用受输入变量的限制。814 选用适当的PAL器件设计一个3位二进制可逆计数器。当X=0时,实现加法计数;当X=1时,实现减法计数。(综合题,第6节) 解:3位二进制可逆计数器是一个时序逻辑电路,且有3个输出,故选用PAL16R4较合适。根据要求,3位二进制可逆计数器的状态表如下: XQ2Q1Q0Q2 n+1Q1 n+1Q0 n+1XQ2Q1Q0Q2 n+1Q1 n+1Q0 n+100000011000111000101011111100010011111010100111001101100010010111000110101

27、11010110100110111101000101110001001000由状态表可得次态方程:Q2 n+1=Q1 n+1=Q0 n+1=由于PAL16R4的输出端设置为反相三态缓冲器,故次态方程应取反,则有:D2= n+1= D1= n+1=D0= n+1=Q0其电路图如习题814电路图所示。815 为什么GAL能取代大多数的PAL器件?(基本题,第7节)答: 这是因为GAL的输出结构配置了输出逻辑宏单元OLMC(Output Logic Macro Cell),用户可以通过编程选择输出结构,它既可以编程为组合逻辑电路输出,又可以编程为寄存器输出;既可以输出低电平有效,又可以输出高电平有效

28、等等。这样GAL器件就可以在功能上通过编程代替PAL的各种输出结构。816 试用GAL16V8实现一个8421码十进制计数器。(综合题,第7节)解:8421码十进制计数器的状态表如下所示:Q3Q2Q1Q0Q3n+1Q2 n+1Q1 n+1Q0 n+1CQ3Q2Q1Q0Q3n+1Q2 n+1Q1 n+1Q0 n+1C0000000101000100100001001001001000010010001101010ddddd0011010001011ddddd0100010101100ddddd0101011001101ddddd0110011101110ddddd0111100001111ddd

29、dd根据状态表可得次态方程: Q3n+1=Q2 n+1=Q1 n+1=Q0 n+1=进位输出函数: C=Q3Q0考虑计数器的实用性,增加了清零、送数功能,修改后的状态方程为:Q3n+1=()+LD3Q2 n+1=()+LD2Q1 n+1=()+LD1Q0 n+1=+LD0进位输出函数: C=Q3Q0 上式中,为清零信号,LD为置数信号。适用于FM软件规范的用户源文件如下: GAL16V81 202 193 184 175 166 157 148 139 1210 11VCCCQ3Q2Q1 Q0NCNCNCCLKLD3LD2LD1 LD0NCNCNCGNDGAL16V8习题816引脚配置DECI

30、MAL COUNTERWU AND SHECOUNTCLK CLR LD3 LD2 LD1 LD0 NC NC NC GNDOE NC NC NC Q0 Q1 Q2 Q3 C VCC;EQUATIONSQ3:=Q3*/Q1*/Q0*/CLR+Q2*Q1*Q0*/CLR+LD3Q2:=Q2*/Q1*/CLR+/Q2*Q1*Q0*/CLR+Q2*Q1*/Q0+LD2Q1:=Q1*/Q0*/CLR+/Q3*/Q1*Q0+LD1Q0:=/Q0*/CLR+LD0C:=Q3* Q0*/CLRCOE=VCCDESRIPTION 实现8421码十进制计数器的引脚配置如习题816引脚配置所示。其具体的实现过程,请参考相应的GAL应用资料。191817161514131211123456789CLKXQ2Q1 Q0 D Q D Q D Q D Q 习题814 电路图 (注:素材和资料部分来自网络,供参考。请预览后才下载,期待你的好评与关注!)

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!