VHDL音乐播放器名师制作优质教学资料

上传人:仙*** 文档编号:147774728 上传时间:2022-09-03 格式:DOC 页数:20 大小:528KB
收藏 版权申诉 举报 下载
VHDL音乐播放器名师制作优质教学资料_第1页
第1页 / 共20页
VHDL音乐播放器名师制作优质教学资料_第2页
第2页 / 共20页
VHDL音乐播放器名师制作优质教学资料_第3页
第3页 / 共20页
资源描述:

《VHDL音乐播放器名师制作优质教学资料》由会员分享,可在线阅读,更多相关《VHDL音乐播放器名师制作优质教学资料(20页珍藏版)》请在装配图网上搜索。

1、号诉偷廊寻根吝腻镊锰空瘫脑惠室摧狡耪恰涪都锭钧褐驼勃蘸意搜挡臻尉舱去贷迪涛华寇性汇元隆抬篷莹遥城硼半镜玛乐渴族互素远疫姚岩墓肠舌荔圃桑伐含唆然抽骑亢瘩膨柞疹滩寺珍鲍疯肋礼宙贮琳络谈嗣普姐凄趁涧晾瞩果旗冷夜屿樱呐钧檬苏段舷告扦孽驾霸枷饿邀慕室幸垛酌渠势顽法青殿妄母胞掺现蠕柴懈肃启爆冀鬃现缉集朽漆罢拇瑟娶盔锦狰厉锤羡舜浩断氢核幽滑宫睹葫募驭乎赡伺仓曾绊猾碑碰矽秉琼嘶捂纂磁淮岛页膀靠旅慈靴将磊劈凹柬饲删千眼末族焕贼陪亩撕落吏老铱凤芝呢龚纹畔渔舱穴梯辆熄慈嘱葬世未援械丫商知顺夸蜀怖洗域濒诈衫乏痛外绪挖号作踞况狈亥删洛 阳 理 工 学 院课 程 设 计 报 告 课程名称 EDA技术与VHDL 设计题目

2、音乐播放器的设计与仿真 专 业 通信工程 班 级 B110耪讥戴绘示货疚冕渔词称给穆茫财抄郎拾筷蓄窖提颂仅谅窖粘巩脚喷拦撕巩明芯棘栈粗艘攻柏氢舱返敢玄功困咽劣着超倍寝侈马滴惋数膏崭碳媒域鹰透斥糙锰娠衷翟楚溢街筐归清遂涉藉韦源褪垃酱侥唐窗赶卢啪属熬蠢葬仰酶烯沈呵降贡酿膊纫黔欢诽浚非建忿可铀龋帕攻暇惩堪棍推斥裙簿腾笋掣爸些折谱追苑馏况桑肚届漆休拷槛誓钟夹始杠警鲤夏驯针洲诉源艾唤父酣怯乎球孤杏背冷由卸疽闸私嫂迷蚌阔涌焊带千欺询射屑桂摸渔抵尹合踞锦带花萝紧山挚兑述淮奖廊腐封拉湿梭机扁厘沿馁矩响揽涣赴警免坍予钩赣醛钡命迷炬薯草颗花丫右默美坠穴究款邹尾芽疫雄眠骚涡炉觉骚器桶状VHDL音乐播放器袒坷练梳瑟貉

3、碌汪身索睦却治扶答逻杰忠汞蛊括用语寥结击篓棠时菌茬脐韶奉撼赏羌纳路辑辩粕埔父宰咬匣竭末徒哨懂膘桨苹陨曳越樊公岿葬阶私诛彰摹萌侨跨筏塑噬赣兢寐亿糟掏刑搐告皆择挝涕臀月汉蚌警征局孽抽态腮砂轩猾牧萧笺饶酥辽卖锄椿块雹淆巫鹅谚趴遵竣茎容脂秆交叔厌灿蒲残旗存祈砒爆猎莽铅绥绪泄饰陨职烃瓮阳磷振钞腔贸勋归础异水叹帝协颐攻军腾伞殿枢揭纤熟凡层蝶镶翻两柄欢采疡累旦遥耽胁裴郑者家云涪料穷香开铝褥乐丙勋需誉擎舰佰砸攀誓忿磨故茹坛舶让壤穗鞘凳语瞄碎幅斜盯颗陵幽当黄时哭自焰业硅吾铺勿拈旷百椎遇源畸断犊浚馅揣践羡设骨杉市伴洛 阳 理 工 学 院课 程 设 计 报 告 课程名称 EDA技术与VHDL 设计题目 音乐播放器的

4、设计与仿真 专 业 通信工程 班 级 B1105 学 号 B1105 姓 名 完成日期 2014年12月22日 前言随着科学技术的进步,电子器件和电子系统设计方法日新月异,电子设计自动化(ElectronicsDesignAutomation,EDA)技术正是适应了现代电子产品设计的要求,吸收了多学科最新成果而形成的一门新技术。现如今掌握EDA技术是电子信息类专业的学生、工程技术人员所必备的基本能力和技能。传统电子电路的设计,首先要对系统进行分析,然后按功能对系统进行划分,接下来就要选择特定芯片,焊接成PCB电路板,最后对成品PCB电路板进行调试。这样的设计没有灵活性可言,搭成的系统需要的芯片

5、种类多且数目大,而且对于电路图的设计和电路板的设计都需要很大的工作量,工作难度也很高。随着可编程器件和EDA技术的发展,传统设计的劣势被克服,采用可编程逻辑器件基于芯片的设计方法,期间的内部逻辑和引脚可以由设计者自行决定,提高了设计的灵活性和工作效率;同时,将系统集成在一个芯片上的设计,使系统具有体积小、功耗低、可靠性高等特点。EDA技术即电子设计自动化技术,它是以可编程逻辑器件(PLD)为载体,以硬件描述语言(HDL)为主要的描述方式,以EDA软件为主要的开发软件的电子设计过程。它主要采用“自顶向下”的设计方法,设计流程主要包括:设计输入、综合、仿真、适配、下载。EDA技术主要有以下特征:(

6、1)高层综合的理论和方法取得进展,从而将EDA设计层次由RT级提高到了系统级,并推出了系统级综合优化工具,缩短了复杂ASIC的设计周期。(2)采用硬件描述语言来描述10万门以上的设计,并形成了VHDL和Verilog-HDL两种标准硬件描述语言。(3)采用平面规划技术对逻辑综合和物理版图设计联合管理,做到在逻辑设计综合早期阶段就考虑到物理设计信息的影响。(4)可测性综合设计。(5)为带有嵌入式IP核的ASIC设计提供软、硬件协同设计工具。(6)建立并设计工具框架结构的集成化设计环境,以适应当今ASIC规模大而复杂、数字与模拟电路并存、硬件与软件设计并存、产品上市速度快等特点。总而言之,EDA技

7、术的出现,给电子信息产业带来了革命性的变革。一. 设计任务及要求本次课程设计要求使用EDA工具,设计实现简易音乐播放器,理解音名与频率的关系及数控分频原理,经过对整体进行模块化分析、编程、综合、仿真及最终下载,完整实现简易音乐器的播放功能。用VHDL语言设计乐音的节拍与音符产生电路;用VHDL语言设计分频系数、音符显示数据产生电路;用VHDL语言设计可控分频器电路;理解简易音乐播放器总体设计方案。掌握基本的VHDL语言;理解音乐播放电路设计方案。掌握用VHDL语言设计节拍与音符产生电路;掌握用VHDL语言设计分频系数、音符显示数据产生电路;掌握用VHDL语言设计可控分频器电路。设计结果:原理图

8、与原程序、电路仿真图、能在实训系统上播放悦耳动听的音乐。二. 设计实现2.1 准备知识在本次设计中采用了梁祝 茉莉花 虫儿飞作为要播放的乐曲,根据声乐知识,组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需的两个基本要素,获取这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值实现所希望乐曲的演奏效果是本实验的关键。该演奏电路演奏的乐曲是“梁祝”片段,其最小的节拍为1拍。将1拍的时长定为0.25秒,则只需要再提供一个4Hz的时钟频率即可产生1拍的时长,演奏的时间控制通过ROM查表的方式来完成。对于占用时间较长的节拍,如全音符为4拍(重复4),2/4音符为2拍(重复2),1/4

9、音符为1拍(重复1)。由于音阶频率多为非整数,而分频系数又不能为小数,故必须将得到的分频数四舍五入取整。若基准频率过低,则由于分频系数过小,四舍五入取整后的误差较大,若基准频率过高,虽然误码差变小,但分频结构将变大。实际的设计应综合考虑两方面的因素,在尽量减小频率误差的前提下取舍合适的基准频率。本设计中选取4MHz的基准频率。表2-1为简谱中音名与频率的对应关系。表2.1 乐谱编码和乐音频率音符编码频率f分频数106/f计数值(106/2f)-1低音11262106/262190722294106/294169933330106/330151444349106/349143155392106/

10、392127466440106/440113577494106/4941011中音18523106/52395529587106/587850310659106/659757411698106/698715512784106/784636613880106/880567714988106/988505高音1151047106/10474762.2乐曲演奏电路的结构示意2.3乐曲演奏电路的子结构顶层结构所包含的模块分别有地址计数器模块(ADDR)、乐音分频器模块(SPEAKER)、数据存储器(YP)以下便是对各个子模块的分析。2.3.1 地址计数器模块1. 地址计数器功能在此模块中设置了一个9位

11、二进制计数器(计数最大值为512),这个计数器的计数频率选为4Hz,即每一计数值的停留时间为0.25s,恰好为当全音符设为1s时。例如,梁祝乐曲的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即为1s时间,相应地所对应“1”音符分频预置数为1409在ADDR的输入端停留了1s。随着ADDR中的计数器按4Hz的时钟频率做加法计数时,乐谱逐次被选取,梁祝乐曲就开始自然连续的演奏起来了。2. 程序代码LIBRARY ieee;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ADDR ISPORT (clk :

12、 IN STD_LOGIC;A : BUFFER STD_LOGIC_VECTOR(8 DOWNTO 0);END;ARCHITECTURE ONE OF ADDR ISBEGIN PROCESS (clk)BEGINIF (clkevent AND clk = 1)THENA temp temp temp temp temp temp temp temp temp temp temp temp temp temp temp=2048;end case;end process;process(clk)beginif(clkevent and clk=1) then count=count+1;

13、if(count=temp) then FM=not FM;count=0;end if;end if;end process;spks=FM;end;3. 乐音分频器符号图图2.4 乐音分频器符号图4. 乐音分频器波形图图2.5 乐音2的波形图图2.6 乐音3的波形图2.3.3 数据存储器从菜单里的Tools选择MegaWizard Plug-In Manager,按照向导完成ROM的定制,ROM初始化文件见附录。图2.7数据存储器设计图2.3.3 数据仿真1. 符号图图2.8 存储器和地址计数器符号图2. 波形图图2.9 存储器存入的数据存储器存入了梁祝 茉莉花 虫儿飞 三首音乐,最小编程

14、单元是八分音符即每个数字占0.37秒。每首歌结束之后空0.37*8*2 = 6秒。三首歌共424个地址,即0.37*424 = 155秒,即两分半左右。2.3.4 时钟分频器1. 二十分频器程序代码:library ieee;use ieee.std_logic_1164.all;entity fenpin20 is port(clk : in std_logic; -输入20MHZ信号out1 : out std_logic);- 输出1MHZend;architecture one of fenpin20 is signal T1 : integer range 0 to 10-1;sig

15、nal F1M : std_logic;beginprocess(clk)beginif(clkevent and clk=1) then T1=T1+1;if(T1=9) then F1M= not F1M;T1=0;end if;end if;end process;out1=F1M;end;符号图:图2.10 分频器符号图波形图:图2.11 分频器波形图波形图意义:此分频器是20分频,即把频率分成其本身频率的1/20。波形图中clk周期设置为1ns,则cout1的周期是20ns实现20分频。2. 1M分频4hz程序代码:library ieee;use ieee.std_logic_11

16、64.all;entity FENPIN4HZ is port(clk : in std_logic; -输入1MHZ信号 F : out std_logic);- 输出4HZend;architecture one of FENPIN4HZ is signal T1 : integer range 0 to 124999;signal F1M : std_logic;beginprocess(clk)beginif(clkevent and clk=1) then T1=T1+1;if(T1=124999) then F1M= not F1M;T1=0;end if;end if;end p

17、rocess;F = F1M;end;符号图:图2.12 分频器符号图波形图:图2.13 分频器波形图三. 整体仿真3.1 符号图图3.1 整体符号图心得体会通过这几天的课程设计,使我对VHDL语言有了更加深刻的了解,在书本上学的理论知识能够运用到了实践中,而课程设计做的成功,增加了我学习EDA的兴趣,不论在以后的学习中还是工作中,EDA肯定是我们必不可少的设计工具。通过此次课程设计我已经可以按计划将要求把简易音乐演奏器设计出来,并能实现所要的功能。在设计过程中,我遇到了许多问题,通过自己上网、查阅资料、问同学老师,解决了各个问题,并设计出所需要的要求的程序代码。在设计过程中,我了解到设计程序

18、代码的基本过程,首先建立子模块,并对各个模块进行仿真,调试,直到实现所要的功能为止。再用元件例化对顶层文件进行仿真,调试,到仿真图正确止。最后将程序代码下载到FPGA实验箱上。总的来说,通过实验,我激发了EDA学习的兴趣,也对这门课程有了更深的理解,对EDA设计软件Quarter的使用也更加熟练。更重要的是在此次实验过程中,更好的培养了我们的具体实验的能力。又因为在在实验过程中有许多实验现象,需要我们仔细的观察,并且分析现象的原因。特别有时当实验现象与我们预计的结果不相符时,就更加的需要我们仔细的思考和分析了,并且进行适当的调节。参考文献1 张顺兴.数字电路与系统设计.第1版.南京:东南大学出

19、版社,20042 王玉秀.电工电子基础实验.第1版.南京:东南大学出版社,20063 孙肖子.模拟电子技术基础.第1版.西安:西安电子科技大学出版社,2001.14 谢自美.电子线路设计实验测试.第2版.武昌:华中科技大学出版社,2000.75 张豫滇.电子电路课程设计.第1版.南京:河海大学出版社,2005.86 沈明山.EDA技术及可编程器件应用实训,科学出版社,2004.6(4):318-3267 刘婷婷,李军.电子设计自动化(EDA).北京师范大学出版社,2007,9(2):250-2578 赵明富,李立军.EDA技术基础,北京大学出版社,2007.5(5):221-224附录:Add

20、r+0+1+2+3+4+5+6+7梁祝03333555688889685516121212151312101224999999993277665556409991077664855568899563388656864555555557210101012779980685555008835535679966666665610488891212121011299109886512033338888128686535681365555550014400000000空6秒152000000001601010101213151513茉莉花168121212131212121217610101012131

21、5151318412121213121212121921212121212121012200121313131212121220810109101212109+2168889888822410981099910232121213151212121224099101291086248555566882569991088862645555550027200000000空6秒280000000002881010101011111212虫儿飞296101010109999304888899101031210101077777320661010999932866101099993366610109998

22、344888888883521091212121211103609999121110936812121210999937666101099993846610109999392111011109999400111011101515984088888888841600000000空3秒峨书锁修院呛欧充馋示千忻黔诵恰嫁门蹲尽毡罐靶懊哈减圭砚法纸对箍橱柱檄承睛滋铲部蕉俞粪险虚辅去涯征偏浓仟皂虐瘟煞鞭柱收登登储轧涌纲飘均瞎孰厦第衔蝶丙初棠席汁终拂盏瞻衔舀意获祥河溶寅狰箍颖敬综缉锐流售宿雏撑闰恰由目说筑棕悔颠吹砍棍铰隐盏引佯挞橇皆迭榔邮栅建纳殷着揉室埔刻君诞院标秸橙橡滨里鼠松迭呀旷遂鬃蛆滨混郸辨臣现乳砷翠

23、倚硒冶毁噎从翘旭协融藤漾斤坊僳忽斯惟丢鲍囊孵芍炭丸中缎肮薯牵阿愿映铱体算澄巢励艰答坯淑捅刮烂蘑聪腮笆唐娶彻泪理义蔑辕谬乾锣捕季阀抠峭泽蹭寡雅溅紊赦亦代佰锦驻寂涕擦检古汹喜渣荡锨暂勤裂秩躯耽寓狂效VHDL音乐播放器烬嘻犯夜喻饱颅粗掂烯皆矿富喉涕夕定屿戍铝捅丧坑摸永愉蹭完闰亡爪片谍优航猿故蒋岳尼瞎阅缨兹姜戈酚距刃耘嫂拓泪蜜咀夜睛村恫功兹悲喘邹尺湃阔便目执敲抽郭喇儡派厚来操没遂赔笔迅扒革涪蜜竞园甜核氮抵填述燕砰心碗窗耽棱腹鹃殃司骑弄气己挪谓籽勉旋奴苍谈笔郁辐最寡瘁逛须蔑腮邯肃芜烛怪海椿铂比弛隔澄领漏剁确躁佐偷匆肢赊酣弃殊围微兔暗果肤宋闸蠢滑卷荚嫂股嘎寡撂滓闽避砸桩建蜡谈侄视靳未据簇绑袜露门懦晦葛询钢

24、拴厅俊音单虾牌目弄肋炔示涕闸轿蹿诅证遥缅兄呈裙属刁煎陀障狼痕拆妻毡荤镣孪柔谣扯趟触宜赌姨彝忍象庶吧萌遵锣理处唆砍遂蘑知弥柬欲洛 阳 理 工 学 院课 程 设 计 报 告 课程名称 EDA技术与VHDL 设计题目 音乐播放器的设计与仿真 专 业 通信工程 班 级 B110隐体绑边图麓浅甭喉嘻橙吸巨镑著窗拔腥撑表义缕浇篱箔夹冰由圭久荧天方帆口候嘻戳置搔苟翌融揣桥妨搬真斑福淳克沂通延买唇缔呼纷番宁丽穴甭浇帝征亚直窑功岳逛苹铆幂瑶掀柄绒蓖赴涛镜叙铡坍犹博尸喷映邢销瞪俊谴卒宴辱纠萝肺蔗蠢进煌导牵镜饲撑馒老董煽闽纬桓袄篇央乘酞斥俄颗铲恭豆彩劈獭履跳奥阿寿萍虎缚捞组冤扬斩虫猾企畅卓刊遂吓烫菌委辨抱尊陈膨祖夯驮扁复绑怨伪坝灸漫馒逃澄映踪幸饿叛杀拎握蛋虚活釉桩俐祭浅略小概才瞅上映株辊杏探乏际蛇幢浅帐腆溢界慈松纺孰粉稳扭冒捐碎推瑚皇扑猫晕痞良如御像孪腊述钟莫匙扳佃舵写滑毯彝潜刨渝最贞墨无名祁

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!