高速数据采集系统

上传人:jin****ng 文档编号:147749792 上传时间:2022-09-03 格式:DOC 页数:36 大小:446.50KB
收藏 版权申诉 举报 下载
高速数据采集系统_第1页
第1页 / 共36页
高速数据采集系统_第2页
第2页 / 共36页
高速数据采集系统_第3页
第3页 / 共36页
资源描述:

《高速数据采集系统》由会员分享,可在线阅读,更多相关《高速数据采集系统(36页珍藏版)》请在装配图网上搜索。

1、目录1 高速数据采集系统简介 22 几种常用的数据采集系统方案简介与分析比较 32.1 基于 ARM 的高速数据采集系统 32.2 基于 MCU+FPGA 组合的高速数据采集系统 62.3基于USB2.0芯片CY7C68013以及模数转换芯片MAX1195的高速数据采集 系统 102.4 几种高速数据采集系统的比较 123 自行设计的基于单片机的高速数据采集系统 133.1 设计原理 133.2 AD 转换模块设计143.3 DA输出模块设计163.4 LCD 显示模块设计 183.5 总的电路图 184 程序设计195 心得体会256 参考文献261高速数据采集系统简介模拟信号多路开关存储器

2、微处理器人机交换模块通用的数据采集系统有硬件和软件两部分组成。硬件部分主要完成数据采集,存储 等功能,软件部分则完成对硬件控制、对采集数据进行处理等功能。与传统的中、 低速数据采集系统相比,高速高精度数据采集系统有其特殊性。首先,对于采样率 高到一定程度的系统,很难用软件和常规的微机接口对其采样、转换过程进行控 制。在这种情况下,通常用硬件实现转换过程的控制和采样数据的同步;其次,如 果系统的实时性要求高,必须采用高速缓存对数据进行存储和高速 DS 芯片完成数 字信号的实时处理。高速高精度数据采集系统的主要任务是将外界模拟信号进行采 集转换,然后送往计算机根据相关要求进行数据处理,其结构主要由

3、信号调理、采 样保持、模数转换和微机系统等部分组成,系统的结构框图如图 1.1 所示。图 1.1 高速高精度数据采集系统框图其中数据采集系统前置电路一般包括传感器、放大器和滤波器等,传感器把 外界信号转变成模拟电量(如热电偶传感器、流量传感器、速度传感器等等),其转 换后的信号一般比较微弱,需要进行放大处理,在传感器转换信号和放大器工作 时,常常产生噪声信号影响采集的准确性,这就需要滤波器降低各种噪声信号提高 系统的信噪比。数据采集系统中常常需要对多组模拟量进行采集,在模拟量信号变 化周期不快的情况下就可以选用模拟多路开关,这样模数转换电路就可以只选取一 套从而降低系统的开发成本。其中模数转换

4、器是数据采集系统中的核心部分,其性 能决定了数据采集系统所能实现的功能。2几种常用的数据采集系统方案简介与分析比较2.1 基于 ARM 的高速数据采集系统该系统的控制核心Samsun公司推出的16/32位RISC处理器S3C44B0X。它为 手持设备和一般类型应用提供了高性价比和高性能的微控制器解决方案。为了降低 成本,S3C44B0X提供了丰富的内置部件:8KB Cache,可选的内部SRAM, LCD控制 器,带自动握手的2通道UART,4通道DMA,系统管理器(片选FP/EDO/SDRAM控 制器),带PWM功能的5通道定时器,I/O端口,RTC,8通道10为ADC,IIC总线 接口,I

5、IS总线接口,同步SIO接口和PLL倍频器。S3C44B0X采ARM7TDMI内核, 0.25um工艺的CMOS标准宏单元和存储编译器。它低功耗,精简,出色和全静态的 设计特别适用于成本和功耗敏感的应用。同样S3C44B0X还采用了一种新的总线结 构,即SAMBAII(SAMSUNG ARM CPU嵌入式微处理器总线结构)。S3C44B0X的显著特 性时它的CPU核,是由ARM公司设计的16或32位的ARM7TDMI最高为66MHz的 RISC处理器。微处理器S3C44B0X提供全面的,通用的片上外设,大大减少了系统 电路中除处理器以外的元器件配置,从而最小化系统的成本。系统以S3C44B0X

6、为数据采集模块核心处理器,采用模块化方法设计,按照功能的不同,分为电源电路、通道选择电路、模数转换电路、通信电路、多路开关及信号调理电路、计算机人机交互界面部分,数据采集系统整体结构图2.1所示。2.1 高速高精度数据采集系统的电路框图数据采集系统工作流程:传感器输入的模拟信号经过信号调理电路的处理(包括隔离、变换、放大、滤波等各种处理)以满足数模转换芯片对输入电平和信 号质量的要求,然后通过多路开关进行信号选择,选通的信号由高性能高速电压反 馈放大器 AD8021 的进一步的处理获得更精确,精度更高的模拟信号,在微处理器 的控制下模拟信号通过 16位逐次渐近型模数转换器 AD7663 的转换

7、处理存入数据缓 存,进一步通过S3C44B0X处理器的控制的显示、键盘模块实现人机交换功能。同 时多路开关的选择与控制有微处理器控制。软件部分的设计分为两个部分,分别为数据采集系统控制软件和数据程序处 理两个部分。软件设计共包括五部分:通道选择,数据采集处理,数据存储,数据 显示和键盘控制。系统各模块功能概述:(1) 多路开关及信号调理模块模拟多路开关是数据采集系统的一个重要部分,通常在多路被测信号共用一路A/D转换器的采集系统中用来把多路信号有条理的传送到A/D转换器中去,以完 成多路信号的数据采集。这里介绍的是采用8通道模拟多路复用器MAX308EPE实现 8路模拟信号的采集。信号调理电路

8、主要基于AD623与MAX291芯片的自行设计电 路。信号调理电路主要用来对传感器输入的信号进行隔离、变换、放大、滤波等等 各种处理,以满足模数转换芯片对输入电平和信号质量的要求,同时大大的简化了 信号调理电路的设计,简化了外围电路。多开关由微处理器S3C44B0X进行控制选 择。(2) 模数转换模块本模块由两部分组成:信号驱动放大器 AD8021 与具有低噪声、高精度和出色的长期稳定特性的基准电压源ADR421提供基准电压的模数转换芯片AD7663。传感器输入的信号通过多路开关及信号调理模块处理后得到比较符合要求的 模拟信号,进一步通过信号驱动放大电路AD8021的处理得到精度较高的、稳定的

9、 模拟信号,通过分辨率高,采样速率高,功耗小的模数转换芯片 AD7663 的作用, 输出符合要求的数字信号,完成模数转换。(3) 存储模块传统的数据采集系统由于数据传输率较低,数据量小,一般可以完成实时分 析和处理,所以存储问题不突出。但高数高精度数据采集系统的数据传输率很高并 且数据量很大,采集速度达到一定的限度就无法进行实时分析和处理,所以合适的 存储器显得很有必要。本设计采用的是SST39VF160芯片。它具有成本低和密度大 的优点,能很好的完成本系统的存储要求,把通过内部AD7663模块转换成数字 量,经通信端口送入计算机进行下一步处理。(4) 键盘模块键盘扫描过程就是有规律的时间间隔

10、查看键盘矩阵,以确定是否有键被按 下。一旦处理器判定有一个键被按下,键盘扫描程序就会滤掉抖动,然后再判定是 哪个键被按下。每个键被分配一个称为扫描码的唯一标示符,应用程序利用该扫描 码来判断应按下了什么键。本设计就是采用的是4X4矩阵键盘,完成人机交换的 键盘控制。(5) 显示模块S3C44B0X内部有一个LCD控制器,只需要在外部接一个液晶驱动模块就可以 具有显示功能了。本设计设置了 LCD液晶显示驱动模块与S3C44B0X的连接模式, 包括接口方式,寄存器的编程。本模块达到了微处理器与显示器的数据传输,实现 了显示的功能。2.2基于MCU+FPGA组合的高速数据采集系统高速数据采集系统的硬

11、件核心为FPGA控制器,其主要功能为响应计算机通过 PCI总线或者USB总线发出的控制命令,接收ADC的实时数据存储在DDRII芯片阵 列中,在存储完成后自动将数据通过PCI总线或者USB总线传输到计算机存储到硬 盘中。这些功能的实现都是使用Verilog HDL语言编程实现的。Verilog HDL语言是一种用形式化方法来描述数字电路和系统的硬件语言。利用其进行电路设计,大大提高了逻辑电路的设计效率,缩短了逻辑电路的设计周期。采用FPGA+MCU的结构,主控逻辑模块用FPGA来实现,在系统中对A/D器件 进行采样控制,起到连接采样电路和MCU的桥梁作用,数据处理、远程通信及液晶 显示控制等由

12、MCU来完成。FPGA把传统的纯粹以单片机软件操作形式的数据采集 变成硬件采集。首先用VHDL语言来设计状态机,用MCU来启动状态机,使其控制 A/D器件,实现数据采集。并将采集到的数据存储到FPGA内部的数据缓存区FIFO 中。当FIFO存储已满时,状态机控制FIFO停止数据写入,并通知单片机取走采集 数据进行下一步处理。这种设计思想大大减轻了单片机的软件运行时间,提高了采 集速率。这里,把6路模拟信号采集任务作为快任务,把用于系统自检的6路检测 量信号作为慢任务。为了使快任务的优先级高于慢任务,本系统设计两个状态机分 别实现快任务和慢任务的数据采集,并由单片机生成PWM波,分别控制两个状态

13、机。系统的总体框图如下图所示:AD574A状亦机工FIFOFIFOFPGA 高速数据系统总框图1)系统主要器件选择FPGA芯片选取及依据:FPGA实现主控逻辑控制,要求响应速度快,效率高。可采用ALTERA公司的ACEX1K 系列 EP1K5O 芯片,最高工作频率可达 250 MHz 。该系列芯片的特点是将 LUT( 查 找表)EAB(嵌入式阵列)相结合,提供了效率最高而又廉价的结构。基于LUT的逻辑 对数据路径管理、寄存器强度、数学计算或数字信号处理的设计提供优化的性能和 效率,而EBA可实现RAM (随机读写存储器)、ROM(只读存储器)、双口 RAM或 FIFO (先入先出存储器)功能,

14、使得ACEX1K适合复杂逻辑以及有存储、缓冲功能的 数据采集系统。ADC芯片选型及依据数据采集系统的输入信号多数都来源于现场传感器的输出信号,传感器种类 不一,致使信号特性也不同,各通道信号的幅度与频率范围有很大的不同,高精度 的、大动态范围的 AD 转换芯片使设计更能满足测量的需要。2)FPGA 方案设计设计思想用 VHDL 语言来设计两个状态机,状态机 1 来控制 A/D 实现快任务的采集,状 态机2来完成慢任务采集。两个状态机的时钟信号CLK (高电平有效)均来自单片 机生成的 PWM 波,状态机 1 直接由单片机控制,状态机 2 则是由单片机经反向器来 控制。首先置P1.0 口为高电平

15、,并用定时器来产生中断,使P1.0 口产生PWM波。 当P1.0 口为高电平时启动状态机1,此时状态机2不动作;当快任务采样完成 后,由定时器产生中断,将P1.0 口置为低电平,此时状态机2动作,来完成慢任 务采集。下一个周期完成同样的操作。对应快任务的采集数据缓存在快任务FIFO,慢任务的采集数据缓存在慢任务FIFO里,单片机读对应的FIFO数据来进行 相应处理。各模块的设计根据以上的设计思想,FPGA系统的硬件设计模块主要有状态机模块,FIFO设计模块4-5。下面具体给出硬件原理设计。A)状态机的设计状态状态编码功能说明CE&R/CLOCKBCESTO01000初始状态ST110000启动

16、转换ST201001若检测STS=O时,转下一状态ST3ST301L00输出转换好的数据ST401L10利用LOCK的上升沿将转换好的数据锁存该设计过程主要是建立采集所需要的硬件电路,等待时钟信号的到来便立刻 启动A/D进行工作。了解了 AD574A的工作时序,就可以写出状态机的采样控制状 态。控制状态编码下表所示:表 1 控制状态编码表用VHDL语言来实现状态机,其原程序:SIGNAL current_state,next_state:STD_LOGIL_VECTOR(4 DOWNTO 0);CONSTANT st0:STD_LOGIL_VECTOR(4 DOWNTO 0):=”01000”

17、;CONSTANT st1:STD_LOGIL_VECTOR(4 DOWNTO 0):=”10000”;CONSTANT st2:STD_LOGIL_VECTOR(4 DOWNTO 0):=”01001”;CONSTANT st3:STD_LOGIL_VECTOR(4 DOWNTO 0):=”01100”;CONSTANT st4:STD_LOGIL_VECTOR(4 DOWNTO 0):=”01110”;SIGNAL LOCK :STD_LOGIL;COM:PROCESS(current_state,STS)BEGINCASE current_state ISWHEN st0= next_s

18、tate next_state IF(STS=0) THEN next_state=st3;ELSE next_state next_state next_statenext_state=st0;END CASE;END PROCESS COM;REG:PROCESS(CLK)BEGINIF(CLK=1)THEN current_state TOOLMegawizard Plug-In Manager,选择 Create a new custommegafunction variation,选择 FIFO。(2) 选择FIFO数据位宽度为12,深度为512。(3) 选择FIFO的端口 : 12

19、位数据输入输出端口 data12.0和q12.O; 对clock同步的数据写入和读出请求wrreq和rdreq;异步清零aclr;存储数据溢出 信号full。2.3基于USB2.0芯片CY7C68013以及模数转换芯片MAX1195的高速数据采集系统 2.3.1CY7C68013 芯片和 MAX1195 芯片介绍1 ) CY7C68013 介绍:CY7C68013在一块芯片上同时集成了 USB2.0收发器,串行接口引擎SIE,增 强型的 8051 微控制器以及一个可编程外围接口 GPIF(General Programmable Interface)。CY7C68013的“量子FIFO”(FI

20、FO,先进先出存储器)特性使得无需 8051CPU的任何干预,数据即可从外设上传到主机,这种数据传输模式彻底解决了 USB2.0收发器与一般8051微控制器连接时由于8051的时钟频率低而导致的传输 速率瓶颈问题。CY7C68013芯片的另一个突出优点是其“软配置”,代码和数 据能够直接通过USB接口下载到片内的RAM上,这一功能通过Cypress公司独创的 “重枚举”(ReNumerationTM)功能实现。CY7C68013芯片有四个可编程的批量、中 断、同步传输端点,可以分别设置为双缓冲,三缓冲和四缓冲模式, 8位或者16 位的外部数据接口,该接口可以根据需要工作在GPIF或者SLAVE

21、FIFO模式。其中 GPIF能够和绝大部分并行接口如FIFO等实现“无胶”连接,即无需外加任何微控 制器或CPLD、FPGA等。本文的设计中采用了 GPIF方式。2)MAX1195 介绍:MAX1195 是由 MAXIM 公司推出的一款低功耗、双路、高速、八位模数转换芯 片,采用流水线(Pipeline)结构,最高采样率40Mbps,内部集成了两个ADC,真 正实现两路同步采样转换。其工作电压范围是2.7V-3.6V,具有减小功耗的休眠模 式和关断(Shut-Down)模式,单端或者差分输入方式,片上采样保持(T/H)电路,内 部或者外部参考电压,含有用户可选择的数据输出格式:二的补码格式或者

22、补偿二 进制码格式,具有输出使能控制,可以将输出置为高阻态。此外MAXIM还提供了与 MAX1195引脚、封装完全兼容的10位、更高采样率的模数转换芯片,如MAX1197、 MAX1198等,因此系统升级非常方便。在本文的工作中,采用了内部参考电压、双 路单端模拟输入、补偿二进制码输出格式。2.3.2 系统整体构成及其工作原理介绍:1)系统构成:系统的整体框图如图1所示。整个系统主要包括USB传输芯片CY7C68013,先 进先出存储器(FIFO)SN74V235和模数转换芯片MAX1195组成。反相器74LVC04主 要起MAX1195和FIFO之间的逻辑控制作用。图 2.3.2 系统整体框

23、图2)系统工作原理:MAX1195 的两路输入信号是同时被采样的,十六位的数据输出总线使两路数 据可以同时输出,分别占八位,即:D0AD7A和D0BD7B; SN74V235输入为18 位,根据CMOS技术的要求,将未使用的D16和D17引脚接地。MAX1195采集到的 数据首先送进FIFO里,FIFO的写时钟(WCLK)和AD的转换时钟反相,这样可以充 分满足MAX1195输出数据的建立时间,避免发生数据丢失或者数据重复写入现象。 SN74V235 的 (Programmable Almost Full) 可编程几乎满信号经 74LVC04 反相后接 MAX1195的Sleep和 引脚,以免

24、FIFO发生溢出而丢失数据。SN74V235的数据输出 引脚Q0Q15与CY7C68013的GPIF模式下的数据线FD15:0即端口 B和端口 D组 成的十六位数据总线相连,数据SN74V235到EZ-USB FX2的时序过程由CY7C68013 的GPIF控制。FX2采用了 “量子FIFO”结构,在FX2里面,数据可以分为两个 域:USB域和GPIF接口域。这两个域是独立的,允许分别使用不同的时钟和逻辑 控制数据的传输,USB域是由SIE控制的,SIE通过USB 口接收或者发送端点FIFO 的数据。FX2的“量子FIFO”能够几乎不花时间在这两个数据域中的转移数据,因 为这两个域用的FIFO

25、在物理上是同一个。所以根据“量子FIFO”原理,实际上数 据已经存在于属于USB域的端点FIFO里面了,采用USB的BULK传输方式,使该数 据完全不经过低频CPU干预,而是采用FX2提供的AutoIn模式,即一旦FX2端点 缓冲区的数据达到指定字节数,数据将自动被打包从USB 口上传到主机。2.4几种高速数据采集系统的比较1)基于 ARM 的高速数字采集系统:其主要特点如下:(1) 实时性强。系统的主要工作是对大量的过程状态参数实时监测、数据存 储、数据处理、进行实时数据分析等。因此要求硬件上必须要有实时时钟和优先级 中断信息处理电路。(2) 可靠性高。他是系统设计的一个重要要求。由于数据采

26、集系统往往是安 放在被控对象的工作环境中,所以不仅温度、湿度大,而且腐蚀多,干扰也很多, 为了确保系统的可靠性,要求系统有较好的抗干扰能力和采集速度。(3) 通用性好,便于扩充。一台以嵌入式系统为核心的控制装置,一般可以 控制多个设备和过程参数,这就要求系统的通用性要好,能灵活的进行功能扩充。(4) 结构简单,功耗低,性能优良。2)基于 MCU+FPGA 组合的高速数据采集系统:随着数据采集对速度性能的要求越来越来高,传统的采集系统的弊端越来越 明显,现在多采用FPGA或者FPGA+MCU (主控逻辑模块是FPGA)的结构,各模块设 计使用VHDL语言,其各进程间是并行的关系。它有MCU无法比

27、拟的优点。FPGA 的时钟频率高,全部控制逻辑由硬件完成,实现了硬件采样,速度快。利用VHDL语言对FPGA进行设计,可在Quartusll中进行系统仿真和验证。 由FPGA在线编程的特点,可以依据现场的具体情况,对FPGA的内部配置进行修 改,进一步增加了系统应用的灵活性,因此该系统是一种比较理想的实时高速数据 采集方案。3) 基于 USB2.0 芯片 CY7C68013 以及模数转换芯片 MAX1195 的高速数据采集 系统在这种高速同步数据采集系统的设计中,CY7C68013芯片灵活的接 口和可编程特性简化了外部硬件的设计,提高了系统的可靠性,也利于PCB板的 制作与调试。CY7C680

28、13的GPIF引擎具有自动传输数据结构的特性,这种特性使 得外围设备和主机通过CY7C68013可以无缝的、高速的传输数据。为了实现高速的 数据传输,CY7C68013CPU不会直接参与数据的传输,而是直接利用GPIF的自动传输数据模式。另外,USB设备的可热插拔特性使得该系统具有便携式的特点,使 用方便,无需关机重启或打开机箱进行安装。3自行设计的基于单片机的高速数据采集系统3.1设计原理我设计的高速数据采集系统包括以下几个模块:AD转换模块、LCD显示模 块、DA输出模块、CPU控制模块。之所以用到DA输出模块,是因为我想让采集到 的数据实时的输出。原理框图开始是AD模块采集到电压信号,然

29、后经过转换之后将模拟电信号变成数字量 并且送到CPU。CPU将数字量处理之后分别送到LCD显示模块和DA输出模块。其中 由于我使用的AD和DA的芯片处理的数据位数不同,AD芯片是八位数据而DA芯片 是10为数据,所以必须将AD转换后的数据转换成DA芯片能够处理的10位数据格 式。3.2 AD 转换模块设计模拟量输入需要AD转换,本设计使用的是八位精度的串行AD转换芯片TLC549。3.2.1 TLC549 芯片简介TLC549是美国德州仪器公司生产的8位串行A/D转换器芯片,可与通用微处 理器、控制器通过 CLK、CS、DATA OUT 三条口线进行串行接口。具有 4MHz 片内系 统时钟和软

30、、硬件控制电路,转换时间最长17s, TLC549为40 000次/s。总失 调误差最大为0.5LSB,典型功耗值为6mW。采用差分参考电压高阻输入,抗干 扰,可按比例量程校准转换范围,VREF-接地,VREF+VREF-三IV,可用于较小信 号的采样。TLC549 芯片引脚图如下:3.2.2 AD 转换模块电路图AD 转换模块电路图3.3 DA 输出模块设计数字量转模拟量需要用到DA芯片。本设计采用的是十位精度的串行DA转换芯片 TLC5615。3.3.1 TLC5615 简介TLC5615 为美国德州仪器公司 1999 年推出的产品,是具有串行接口的数模 转换器,其输出为电压型,最大输出电

31、压是基准电压值的两倍。带有上电复位功 能,即把 DAC 寄存器复位至全零。性能比早期电流型输出的 DAC 要好。只需要通 过 3 根串行总线就可以完成 10 位数据的串行输入, 易于和工业标准的微处理器 或微控制器(单片机) 接口, 适用于电池供电的测试仪表、移动电话,也适用于数字 失调与增益调整以及工业控制场合。TLC5615 主要由以下几部分组成:1、10 位 DAC 电路;2、一个 16 位移位寄存器, 接受串行移入的二进制数,并且有一个级联的数 据输出端 DOUT ;3、并行输入输出的 10 位 DAC 寄存器, 为 10 位 DAC 电路提供待转换的 二进制数据;4、电压跟随器为参考

32、电压端REFIN提供很高的输入阻抗,大约10MQ ;5、X2电路提供最大值为2倍于REFIN的输出;6、上电复位电路和控制电路。两种工作方式: (A)16 位移位寄存器分为高 4 位虚拟位、低两位填充位 以及 10位有效位。在单片 TLC5615 工作时,只需要向 16 位移位寄存器按先后输 入 10位有效位和低 2 位填充位,2 位填充位数据任意,这是第一种方式,即 12 位数据序列。(B)第二种方式为级联方式,即16位数据列,可以将本片的DOU T 接到下一片的 DIN , 需要向 16 位移位寄存器按先后输入高 4 位虚拟位、10 位有效位和低 2 位填充位, 由于增加了高 4 位虚拟位

33、, 所以需要 16 个时钟脉 冲。TLC5615芯片引脚图如下:u18273645DIN SCLK CS DOUT VDDOUTREFN AGNDU25C4 .+5V :ATLC5615C(LJP .R3 . 10k j:TE:;R5 1uk - 灯曰E10kTE:,:九SCLKCS 匚11忖 DoirOUT F:EFIN3.3.2 DA 输出模块电路图DA 输出模块电路图3.4 LCD 显示模块设计LCDTLMD16L尋典 eSlu 呂 o383SSBl r-j n 寸 町 口 r- ll CTi i- m n 寸LCD1LMD16LtflvAononononn_ 1_ Xn_i_xa_a_

34、i_n_i_I驚灌 .o-:.23.4.567 o D o D o fl o D ppppppppjy ruU 38 PD1 337 POL 436 PO3 635 PO4 634 PD5 733 PO5 832 PD7 Q39 PO.O 21 - 2_-:-I-一 4i-一-tlrrf:-2 2 2- 2 2-2 2-2 角月M0WM2M3M4* W”/A/AVA/A/A 2.2.23.4.5.6r PP22222Cp p p p p nH3.U/HXU P3.1ZTXD P3.2/iTO P3-3 丽ffC1itr- IOC2RV2LCD 显示模块电路图3.5 总的电路图4程序设计#inc

35、lude#include#define uint unsigned int#define uchar unsigned char#define LCD_DB P0sbitLCD_RS二P0;sbitLCD_RW二Pl;sbitLCD_E二P2;sbit cs AD二P3“7;sbit sclk_AD二P3飞;sbit din_AD= P3“5;sbitCLK_DA二P7;sbit DAT_DA=P1 飞;sbitCS_DA二P5;uint y;uchar x;uchar LCD6;void LCD_ini t(void);/初始化函数void LCD_wr it e_command(uchar

36、 command);/写指令函数void LCD_wri te_da ta(uchar da t);/写数据函数void write_5615(uint da);/DA 转换uchar TLC549ADC(void);/AD 转换void delay_n40us(uin t n);/延时函数void Volt_To_LCD(void);/* /*初始化函数*void LCDinir+(void)宀LCDRWH0-LCDwri-+ecomnland (0x38)、rt8aH9 24r9 5x7 LCDwri-+ecomnland(oxoc)9sLCDwri-+ecomnland(0x06)UH“

37、MMna LCDwri-+ecomnland (0X01)、isa鋼知delayn40us(loo)-一一* 一、薫薫薫薫MSS因齊薫薫薫薫薫薫 void LCDwri0;i-)for(j=0;j2;j+);void Volt_To_LCD(void) uchar AD_Data;uint Volt;AD_Data=TLC549ADC();Volt=(uint)(5.0/256*AD_Data*1000);LCD0=Volt/1000+0;LCD1=.;LCD2=Volt/100%10+0;LCD3=Volt/10%10+0;LCD4=Volt%10+0;LCD5=V;void write_5

38、615(uint da)uchar i;cs_AD=1;sclk_AD=0;_nop_();cs_AD=0;_nop_();_nop_();da=da&0x03ff;for(i=0;i12;i+) if(bit)(da&0x0200)=1)din_AD=1;elsedin_AD=0;sclk_AD=1;da=1;sclk_AD=0;sclk_AD=0;cs_AD=1;_nop_();_nop_();uchar TLC549ADC(void)uchar i,x;CS_DA=1;CLK_DA=0;CS_DA=0;_nop_();_nop_();for(i=0;i8;i+)CLK_DA=1;x=1;

39、x|=DAT_DA;CLK_DA=0;CS_DA=1;for(i=17;i!=0;i-) _nop_();return (x);void main() LCD_init();while(1)uint j;y=TLC549ADC();j=(uint)(1.0/256*y*1024);write_5615(j);Volt_To_LCD();for(k=0;k6;k+)LCD_write_command(0xc0+k);LCD_write_data(LCDk);for(k=0;k255;k+)delay_n40us(255);5 心得体会这次的课程设计是本学期我做的关于单片机的第二个课程设计。通过这

40、次的 课程设计,我对AD、DA的相关应用有了一定的了解。知道了如何用AD采集数据, 然后把采集到的数据经过DA输出,并且通过LCD显示。本次课程设计的题目是:高速数据采集系统原理分析和设计。其中有一项要 求就是搜索出若干种高速数据采集系统方案并对它们进行分析和比较,所以这次我 查阅了很多资料,比较了很多的数据采集系统方案,然后从中选取了我认为应用比 较广泛的几种加以分析比较。在这之后我便着重研究基于单片机的高速数据采集系 统,因为我自己设计的高速数据采集系统就是基于STC89C52芯片的。我做课程设计的思路是,高速数据采集系统运用AD芯片采集数据,然后AD 芯片采集到的数据不能只是存储起来,还

41、要能够显示出来,所以我想到了利用LCD 显示采集到的数据,接着我又想把AD采集到的数据传给DA再输出,从而能在示波 器上看到采集的信号,并且可以分析采集到的数据再输出之后会产生多大的失真。 这次课设过程中LCD显示做得还比较顺利,因为我以前做过相关的程序,现在只需 要稍微改动就可以使用,但是做DA输出模块的时候就不那么顺利了,因为我开始 一直没有意识到TLC549与TLC5615数据格式的不同,最后在查阅了很多资料并且 和同学讨论之后意识到这个问题,然后做出相应改进,终于DA能够正常输出,虽 然还是和真实值有误差。在这个课程设计上我付出了很多努力,最后看到自己的成果的时候有一种莫 名的喜悦。虽

42、然在这次设计的过程中,遇到了不少困难,但是在自己的努力以及同 学们的帮助下,自己能够顺利的完成,确实还是蛮欣慰的。感谢这次课程设计给了 自己锻炼的机会,自己在今后的学习和生活中,会更加的努力,争取更大的进步! 6 参考文献1 李群芳 单片微型计算机与接口技术电子工业出版 社 20082 彭沛夫 张桂芳 微机控制技术与实验指导 清华大学出版 社 20053 张红润、张亚凡 单片机原理及应用.清华大学出版社 20054 赵茂泰 智能仪器原理及应用 第二版电子工业出版社 2008 5 张鑫 华臻 陈书谦 单片机原理及应用 电子工 业出版社 2005本科生课程设计成绩评定表姓名陈城性别男专业、班级电子信息工程0904班课程设计题目:高速数据米集系统原理分析和设计课程设计答辩或质疑记录:成绩评定依据:最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字:

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!