数字化语音存储与回放系统

上传人:痛*** 文档编号:146927875 上传时间:2022-09-01 格式:DOC 页数:49 大小:1.65MB
收藏 版权申诉 举报 下载
数字化语音存储与回放系统_第1页
第1页 / 共49页
数字化语音存储与回放系统_第2页
第2页 / 共49页
数字化语音存储与回放系统_第3页
第3页 / 共49页
资源描述:

《数字化语音存储与回放系统》由会员分享,可在线阅读,更多相关《数字化语音存储与回放系统(49页珍藏版)》请在装配图网上搜索。

1、 南 京 理 工 大 学毕业设计说明书(论文)作 者:仝香保准考证号:014910253064教学点:南京信息职业技术学院专 业:电子工程题 目:数字化语音存储与回放系统副教授/高工李玲指导者: (姓 名) (专业技术职务)评阅者: (姓 名) (专业技术职务)2012年4月毕业设计说明书(论文)中文摘要 本文介绍的数字化语音存储与回放系统基本实现传统的磁带语音录放系统功能,同时拥有较大的提升空间。其基本原理是对语音的录音与放音的数字化控制。为了更全面的掌握知识,本设计采用多个模块共同实现这一设计要求。采用单片机作为控制器件,控制模数转换和数模转换,并将模数转换后的数字信号存储于外部存储器中,

2、通过按键控制其录放过程。为了增加语音存储时间,提高存储器的利用率,也可以采用了非失真压缩算法对语音信号进行压缩后再存储,而在回放时再进行解压缩。关键词 数字化存储 回放 模/数转换 数/模转换毕业设计说明书(论文)外文摘要Title Digit-Voice Recorder and Playback System AbstractThe digit-voice recorder and playback system that this paper introduces is the basic realization of the traditional tape voice record

3、function. Its basic principle is the digital control for the recorder and playback of voice. In order to grasp and apply the knowledge learned more fully, this design uses multiple modules together to achieve the design requirements. This design using the microcontroller as a control device, control

4、 of analog to digital conversion, digital to analog conversion, store the digital signal after the analog to digital conversion in external memory, using the keys to control the playback process. For increase,to increase pronunciation store time and raise utilization ratio of the memory, it adopts n

5、on-distorted to compress algorithm before storing to pronunciation signal and to decompress in the playback.Keywords Digital store Playback A/D Convert D/A Convert 本科毕业设计说明书(论文) 第 页 共 页目录1 引言12 总体方案设计23 各模块硬件设计53.1 话筒前置放大电路53.2 带通滤波器设计63.3 AT89S52单片机基本电路73.4 模数转换模块133.5 数模转换模块153.6 外部存储模块173.7 功放电路设

6、计193.8 按键与显示模块213.8.1 ZLG7289B1芯片介绍213.8.2 ZLG7289B与其它部分连接图233.9 供电电路模块243 软件设计26结论28致谢29参考文献30附录31附录一 程序源代码31附录二 原理图及实物图44本科毕业设计说明书(论文) 第 45 页 共 49 页1 引言传统的磁带语音录放系统因其体积大、使用不便,在电子与信息处理的使用中受到许多限制。本文提出的体积小巧,功耗低的数字化语音存储与回放系统将完全可以替代它。数字化语音存储与回放系统的基本原理是对语音的录音与放音的数字化控制。其中,关键技术在于,为了增加语音存储时间,提高存储器的利用率,采用了非失

7、真压缩算法对语音信号进行压缩后再存储,而在回放时再进行解压缩,同时,对输入语音信号进行数字滤波以抑制杂音和干扰,从而确保了语音回放的可靠质量。 本系统能够对语音信号分别进行数据的采集直存直取,欠抽样采样和自相似增量调制等三种方法,完成了对语音信号的存储与回放。前置放大、滤波以及电平移位电路将语音信号控制在A/D转换器采样控制范围内以保证话音信号采样不失真。带通滤波器合理的通带范围有效的滤除了带外噪声,减小了混叠失真。后置带通滤波器用于滤除D/A转换产生的高频噪声以保证回放时音质清晰,无明显失真。本系统设计主要分为以下几个模块:声音采集模块、带通滤波模块、A/D转换模块、数据存储模块、D/A转换

8、模块、按键选择模块、放大器模块。声音采集模块用于外部语音信号,带通滤波模块作用是将声音转换后的电信号进行滤波,数据存储模块用于存储数字化处理后声音信号的数据,D/A转换模块将数字信号转换为模拟信号输出,音频放大模块则是将采集的信号最终进行回放以检验系统整体性能,按键选择模块则是对录、放音、数据分段存取等功能进行选择。2 总体方案设计数字化的语音存储与回放系统完成将语音信号转化为电信号,经放大、滤波处理后通过A/D转换器转化为数字信号,再将数字化得语音信号存放在大容量的外部存储器之中。回放时,将数字化的语音信号经过D/A转换器转化为模拟信号,经过滤波放大后驱动扬声器产生声音。此过程主要由两个按键

9、控制,即录音与放音按键,同时用数码管显示录放信息。系统整体框图如图2所示。STC89C52单片机ZLG7289按键与显示LED录放指示4片UT62256存储阵列DAC0832模块(I/V变换)带通滤波器(BPF)音频功放(LM386)扬声器/耳机拾音器(话筒)前置放大器带通滤波器(BPF)ADC0809转换模块图2 系统整体框图从以上思路可以看出数字化语音存储与回放系统在硬件电路主要由运放电路、滤波电路、A/D转换电路、微处理电路、大容量存储器电路、D/A转换电路和运放电路等部分组成。(1)微处理器选择。本设计选用PDIP封装AT89S52单片机来控制数字语音存储与回放。AT89S52单片机是

10、一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。(2)A/D转换模块选择。根据题目要求采样频率fs=8KHZ,字长=8位,可选择转换时间不超过125􀂵s的八位A/D转换芯片。目前常用的A/D转换实现的方法有多种,鉴于转换速度的要求,我们采用A/D转换芯片ADC0

11、809。ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。(3)D/A转换模块选择。D/A转换芯片的作用是将存储的数字语音信号转换为模拟语音信号,由于一般的模拟转换器都能达到1s的转换速率,足够满足题目的要求,故我们在此选用了通用D/A转换器DAC0832。(4)数据存储器选择。当采样频率fs=8KHZ,字长为8位时,一秒钟的语音需要8K字节的存储空间,本设计要求存储20秒的语音信号,则存储器至少需要有8k*20容量,即160K容量。在这里我们选用4片32K的低功耗静态RAM存储器62256,总的存储容

12、量为128K。可以基本满足存储需要,当然若在存储时采用数据压缩算法其可存储语音时间将更长。此设计中没有采取此算法。(5)话筒前置放大电路器件选择。拾音器输出的毫伏信号实测其范围约为2025Mv,此电信号太小不能够进行采样,后级A/D转换输入信号的动态范围为05V,语音信号的范围与采样范围的比较得出放大器的放大倍数应为200倍左右,所以为了将从拾音器获得的微弱语音信号放大,本系统中才用两个相同拾音器进行信号输入,将它们背对背安装,前置放大电路由一级差分放大电路和一级增益可调反相放大电路组成。采用低噪声双运算放大器NE5532.(6)带通滤波器运放选择。声音信号经动圈拾音器转有源滤波器换成电压信号

13、,通过前级放大,在对其进行数据采集之前,有必要经过带通滤波器除带外杂波,选定该滤波器的通带范围为300Hz3.4KHz,其作用是:a) 保证3003400Hz的语音信号不失真的通过滤波器;b) 滤除通带外的低频信号,以减少带外功频等分量的干扰,大大减少噪声影响;c) 该下限频率可下延到270Hz左右;便于滤除通带外的高次谐波,以减少因8kHz采样率而引起的混叠失真,根据实际情况,该上限频率可在2700Hz左右,带通滤波器按品质因数Q的大小为窄带滤波器(10)和带通滤波器(10)两种,本题中,上限频率fh=3400Hz,通带滤波器中心频率f0与品质因数分别为:显然,Q10,故该带通滤波器为宽带带

14、通滤波器。宽带带通滤波器由高通和低通滤波器级联构成。本设计采用TL084四运放放大器,该TL084 是四输入运算放大器与高速结型场效应管的结合良好的匹配,高电压的J - FET 和双极晶体管电路在一个单片tegrated 回路范围。(7)功放模块选择。本设计选用2025立体声功放模块。2025是一个完整的十六脚双排塑料封装音频放大器,它是为轻便的盒式录音机播放器和收音机而设计的。(8)电源模块选择。本设计使用的单片机,运放电路分别使用+5V、0V和+12V、-12V电压,因此电源模块需要提供这些电压,选用LM7805三端1.5A正电源稳压电路实现+5V电压供给,选用LM7812提供+12V电压

15、,选用LM7912提供-12V电压。(9)显示及按键电路器件的选择。显示用两位共阴极数码管即可,按键可用4个独立按键分别控制录音、放音、数据上传和下传操作。因为外围器件较多,单片机I/0口可用数量有限,为了节省I/O口,我们选用广州周立功单片机发展有限公司自行设计的数码管显示驱动及键盘扫描管理芯片ZLG7289B1。3 各模块硬件设计3.1 话筒前置放大电路拾音器是一种声传感器,声传感器是把外界声场中的声信号转换成电信号的传感器。拾音器包括拾音头和音臂等附件,其换能装置主要有压电式、电磁式、电容式以及半导体等。唱针耦合在线圈上的称动圈式,耦合在磁钢上的称动磁式。此外,也有将唱针耦合在衔铁上的称

16、为动铁式,也称可变磁阻式。在本设计中采用动圈式拾音器。拾音器输出的毫伏信号实测其范围约为2025Mv,此电信号太小不能够进行采样,后级A/D转换输入信号的动态范围为05V,语音信号的范围与采样范围的比较得出放大器的放大倍数应为200倍左右,本系统中采用两个相同拾音器进行信号输入,将它们背对背安装,前置放大电路由一级差分放大电路和一级增益可调反相放大电路组成。本设计采用低噪声双运算放大器NE5532.差分放大电路的增益为。反相放大器的增益为。声音的拾取选用两个特性基本相同的话筒,将它们背对背的安装,假设声源到达两拾音器的距离分别为L1和L2,背景声音(噪声)到达两个拾音器的距离分别为L3和L4。

17、由于生源离话筒的距离相对较近,L1L2,生源在话筒上产生语音信号属于差分信号,通过差分电路得到放大;而背景声离话筒的距离相对较远,可以认为L3L4,因此,背景声在话筒上产生的信号对差分放大电路来说相当于共模信号,从而被有效地抑制。差分放大电路如图3.1.1所示。图3.1.1 前置差分放大电路3.2 带通滤波器设计此处设计的带通滤波器使用于信号输入端和信号输出端。前文已经讲过本设计采用TL084四运放放大器作为带通滤波器的主要器件,且经计算的Q10,故该带通滤波器为宽带带通滤波器。宽带带通滤波器由高通和低通滤波器级联构成。(1)4阶低通滤波器的原理图如图2.2.1所示,由两级2阶多重反馈低通滤波

18、器级联而成。主要指标:通带增益Ao=1,截止频率fc=fh=3.4Hz,选择Q1=0.541,Q2=1.306。主要计算如下:选基准电容Co为2200pF,则基准电阻K,取标称值5100pF,取标称值20k,取标称值20k,取标称值10k,同样有:,取标称值0.033,取标称值8.2k,取标称值8.2k,取标称值3.9k。低通滤波器电路如图3.2.1所示图3.2.1 低通滤波器电路图(2)高通滤波器原理图如图2.2.2所示,有TL082构成4阶高通滤波器,通带增益,截止频率,第三极的为0.451,第四级为1.306.主要参数计算如下:电容,取标称值12k,取标称值22k,取标称值3.9k,取标

19、称值3.9k,高通滤波器图如图3.2.2图3.2.2 高通滤波器电路图3.3 AT89S52单片机基本电路AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。AT89S52具有以下标准功能:8k字节Flash,256字节RAM,32 位I/O 口线,看门狗定时器,2

20、个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。(1)管脚介绍AT89S52引脚及管脚连线定义如下图所示图3.3.1 AT89S52引脚及管脚连线图VCC : 电源GND: 地P0 口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作

21、高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0具有内部上拉电阻。在 flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻。P1 口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,p1 输出缓冲器能驱动4 个TTL 逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时器/计数器2的触发输入(P1.1/T2EX

22、),具体如下表所示。在flash编程和校验时,P1口接收低8位地址字节。引脚号第二功能P1.0T2(定时器/计数器T2的外部计数输入),时钟输出P1.1T2EX(定时器/计数器T2的捕捉/重载触发信号和方向控制)P1.5MOSI(在系统编程用)P1.6MISO(在系统编程用)P1.7SCK(在系统编程用)表3.3.1 P1口第二功能P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器能驱动4 个TTL 逻辑电平。对P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。在访问外部

23、程序存储器或用16位地址读取外部数据存储器(例如执行MOVX DPTR)时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部上拉发送1。在使用8位地址(如MOVX RI)访问外部数据存储器时,P2口输出P2锁存器的内容。在flash编程和校验时,P2口也接收高8位地址字节和一些控制信号。P3 口:P3 口是一个具有内部上拉电阻的8 位双向I/O 口,p2 输出缓冲器能驱动4 个TTL 逻辑电平。对P3 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。P3口亦作为AT89S52特殊功能(第二功能

24、)使用,如下表所示。在flash编程和校验时,P3口也接收一些控制信号。引脚号第二功能P3.0RXD(串行输入)P3.1TXD(串行输出)P3.2INT0(外部中断0)P3.3INT0(外部中断0)P3.4T0(定时器0外部输入)P3.5T1(定时器1外部输入)P3.6WR(外部数据存储器写选通)P3.7RD(外部数据存储器写选通)表3.3.2 P3口第二功能RST: 复位输入。晶振工作时,RST脚持续2 个机器周期高电平将使单片机复位。看门狗计时完成后,RST 脚输出96 个晶振周期的高电平。特殊寄存器AUXR(地址8EH)上的DISRTO位可以使此功能无效。DISRTO默认状态下,复位高电

25、平有效。ALE/PROG:地址锁存控制信号(ALE)是访问外部程序存储器时,锁存低8 位地址的输出脉冲。在flash编程时,此引脚(PROG)也用作编程输入脉冲。在一般情况下,ALE 以晶振六分之一的固定频率输出脉冲,可用来作为外部定时器或时钟使用。然而,特别强调,在每次访问外部数据存储器时,ALE脉冲将会跳过。如果需要,通过将地址为8EH的SFR的第0位置“1”,ALE操作将无效。这一位置“1”,ALE 仅在执行MOVX 或MOVC指令时有效。否则,ALE 将被微弱拉高。这个ALE 使能标志位(地址为8EH的SFR的第0位)的设置对微控制器处于外部执行模式下无效。PSEN:外部程序存储器选通

26、信号(PSEN)是外部程序存储器选通信号。当 AT89S52从外部程序存储器执行外部代码时,PSEN在每个机器周期被激活两次,而在访问外部数据存储器时,PSEN将不被激活。EA/VPP:访问外部程序存储器控制信号。为使能从0000H 到FFFFH的外部程序存储器读取指令,EA必须接GND。为了执行内部程序指令,EA应该接VCC。在flash编程期间,EA也接收12伏VPP电压。XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。XTAL2:振荡器反相放大器的输出端。(2)主要性能指标l 与MCS-51兼容l 4K字节可编程闪烁存储器l 三级程序存储器锁定l 128*8位内部RAMl 32可

27、编程I/O线l 两个16位可编成定时器/计数器l 5个中断源l 低功耗的闲置和掉电模式l 片内振荡器和时钟电路,时钟频率1.212MHz;可有时钟输出l 有强的位寻址位处理能力(3)CPU时钟电路AT89S52单片机有一个用于构成内部振荡器的反相放大器,XTAL1 和XTAL2 分别是放大器的输入、输出端。石英晶体和陶瓷谐振器都可以用来一起构成自激振荡器,在XTAL1和XTAL2两端跨接晶体或陶瓷振荡器,就构成了稳定的自激振荡器,其发出的脉冲直接送入内部时钟发生器,见图3.3.2所示:图3.3.2 AT89S52的时钟电路外接晶振时,C1、C2值通常选择为30pF左右;外接陶瓷振荡器时,C1、

28、C2约为47pF。C1、C2对频率有微调作用,震荡频率范围是1.212MHz。本设计采用12MHz的外部晶振。(4)复位电路AT89S52通常采用上电自动复位和按键手动复位两种方式。上电复位电路在通电瞬间,在RC电路充电过程中,RST端出现正脉冲,从而使单片机复位。按键手动复位又分为按键电平复位和按键脉冲复位,按键电平复位是将复位端通过电阻与Vcc相连,按键脉冲复位是利用RC微分电路产生正脉冲来达到复位的目的。图3.3.3 单片机复位电路(5)ISP下载口AT89S52单片机只能用ISP下载口下载,图为图3.3.4 ISP下载扣3.4 模数转换模块ADC0809是美国National Semi

29、conductor公司推出的一款中低速廉价8位逐次逼近型AD转换芯片,具有八路输入通道,可轮流对外部八路模拟信号进行采样转换;其典型转换时间为100us,最大能达到11KSPS的采样率;总的不可调误差为1LSB,单一5V电源供电,低功耗15mW,广泛用于中低速采样系统当中。由于ADC0809 芯片内有三态输出缓冲电路, 因而可直接与单片机的数据总线相连, 无须附加逻辑接口电路。另外, 由于ADC0809 与CMOS 和TTL 兼容, 因而可构成简单的数据采集最小系统。(1)管脚介绍ADC0809 为28 脚双列直插式封装, 其引脚分布如图3.4.1 所示。各主要引脚功能如下:图3.4.1 AD

30、C0809管脚图Vcc:芯片工作的电源电压端,电压范围为4.55.5V,推荐使用的典型电压值为5V。GND:模拟和数字接地端。REF+和REF-:正和负的基准电压输入端,范围为0Vcc。ADC0809内部不含电压基准电路,需要外部提供。REF+的值要大于REF-的值,应用时常用的方法是直接将REF-接模拟地。IN0IN7:模拟电压信号输入端,电压范围为0(VREF+)-(VREF-)。通过可编程操作模拟多路开关选择输入的有效通道。START:转换启动信号输入端。当开端出现一个100ns的脉冲时启动AD转换。ADDA、ADDB、ADDC:通道地址输入端。八个模拟信号输入通道的输入选通地址由这三个

31、引脚确定。ALE:输入地址锁存端。锁存ADDA、ADDB、ADDC输入的地址码。EOC:转换结束信号输出端。当内部进行完一次AD转换时该引脚输出高电平,此引脚也可作为中断信号触发单片机中断,是单片机进行相应处理。OE:数据输出使能端。当进行完一次AD转换时,置位该引脚则数据经三态门输出至8位数据输出端,此时单片机可以读取AD转换结果。CLOCK:外部时钟输入端。ADC0809没有内部时钟振荡电路,需外加时钟信号方能正常工作,典型值为640KHz。本系统加的是500KHz。LSBMSB:8位数据输出端。AD转换的结果最终经三态门输出至这8个引脚。(2)ADC0809与单片机连接图ADC0809与

32、AT89S52单片机连接图如图3.4.2所示图3.4.2 ADC0809与AT89S52单片机连接图3.5 数模转换模块DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。旨在直接与8080,8048,8085,Z80及其他通用的微型处理器进行相接。存储的硅铬R-2R电阻梯形网络将参考电流分开,并为电路提供合适的温度处理特性(全范围最大线性温度误差的0.05%)。电路利用CMOS电流开关和控制逻辑来取得最少的电能损耗和最小的输出泄露电流误差。特殊的电路也能提供TTL逻辑输入电压的水平兼容。(1)DAC0832管脚介绍DAC0832管脚图如3.5.1所示D0D7:8位数据输入线

33、,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错); ILE:数据锁存允许控制信号输入线,高电平有效; CS:片选信号输入线(选通数据锁存器),低电平有效; WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存; XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效; WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR2、XFER的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随

34、寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始D/A转换。 IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化IOUT2:电流输出端2,其值与IOUT1值之和为一常数Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满量程精度Vcc:电源输入端,Vcc的范围为+5V+15VVREF:基准电压输入线,VREF的范围为-10V+10VAGND:模拟信号地DGND:数字信号地图3.5.1 DAC0832管脚图(2) 主要性能指标l 电流设置时间:1sl 分辨率:8位l 线性度:8,9或者10位(保证温度)l 低功耗:20 mWl 单电源提供:直流5-15V

35、(1) DAC0832与单片机连接图DAC0832与AT89S52单片机连接图如图3.5.2所示图3.5.2 DAC0832与AT89S52单片机连接图3.6 外部存储模块在数字化语音存储与回放的设计中可用4片UT62256采用分页存储技术存储器来存储语音信号。UT62256具有在线可擦写、读写速度快、信息保存可靠、存储容量大等优点,每片的容量为32K字节。由于89C52一般能寻址64K字节。所以需要利用端口进行地址译码,本系统中另加四根线(P1.0、P1.1、P1.2、P1.3)控制74HC138进行地址译码输出,使寻址空间扩展到128K字节。UT62256读取时间仅为70ns,单一+5V电

36、源,低功耗:待机为1uA(LL系列),启动工作为3040mA。输入输出全兼容CMOS和TTL电路。但是UT62256是SRAM存储器,掉电后数据会丢失,为了保持数据可以附加后备电池供电(UT62256只需2V电压即可维持片内数据),如果不加后备电源只有系统上电后再重新录制。(1)UT62256管脚介绍UT62256引脚分布如图3.6.1所示:A0 A14:地址总线(Address) D0 /D7:输入/输出口(nput/output) CS:端口选择(Chip select) WE:输入始能(Write enable) OE:输出始能(Output enable) VCC:电源始能(Power

37、 supply) VSS:接地(Ground)图3.6.1(2)UT62256C与单片机连接图UT62256C与AT89S52单片机连接电路如图3.6.2所示图3.6.2 62256接线图3.7 功放电路设计TEA2025是欧洲生产的双声道功率放大集成电路,该电路具有声道分离度高、电源接通时冲击噪声小、外接元件少,最大电压增益可由外接电阻调节等特点,应用于袖珍式或便携式立体声音响系统中作功率放大。(1)TEA2025管脚介绍TEA2025引脚图如3.7.1所示BRIDGE:BTL辅助输出,双声道时悬空OUT1/OUT2:功放电路1/2信号输出端BOOT1/BOOT2:功放电路1/2自举端GND

38、:功放电路接地线FEEDBACK:功放电路负反馈元件端IN1/IN2:功放电路1/2信号输入端SVR:纹波滤波元件连接端+VS:工作电源电压输入端GND:接地线端图3.7.1 TEA2025管脚图(2)主要性能指标l 工作电源电压范围为312V。l 其输出功率由使用电源电压和负载而定l P=0.1Wx2 (VCC=3V,RL=4) l P=1Wx2(VCC=6V,RL=2) l P=2.3Wx2(VCC=9V,RL=4)。l 适用于单声道桥式(BTL)或立体声线路两种工作状态。l 它还具有外接元件少,声道分离度高,爆破噪声少,电压增益可由外接电阻调节等特点。l 采用双列直插16脚塑料封装(DI

39、P16)。(2) TEA2025功放电路图TEA2025功放电路图如图3.7.2所示图3.7.2 TEA2025功放电路图3.8 按键与显示模块本设计按键扫描及数码管显示驱动采用广州周立功单片机发展有限公司自行设计的数码管显示驱动及键盘扫描管理芯片ZLG7289B1。显示采用两位共阴极数码管,按键采用四个独立按键。3.8.1 ZLG7289B1芯片介绍ZLG7289B 是广州周立功单片机发展有限公司自行设计的数码管显示驱动及键盘扫描管理芯片,可直接驱动8 位共阴式数码管(或64 只独立LED),同时还可以扫描管理多达64 只按键。ZLG7289B 内部含有显示译码器,可直接接受BCD 码或16

40、 进制码,并同时具有2 种译码方式。此外,还具有多种控制指令,如消隐闪烁左移右移段寻址等。ZLG7289B 采用SPI 串行总线与微控制器接口,仅占用少数几根I/O 口线。利用片选信号,多片ZLG7289B 还可以并接在一起使用,能够方便地实现多于8 位的显示或多于64 只按键的应用。(1)ZLG7289B 的主要特性l 很宽的工作电压范围:2.76V;l 直接驱动8 位共阴式数码管(1 英寸以下)或64 只独立的LED;l 能够管理多达64 只按键,自动消除抖动;l 段电流可达15mA 以上,字电流可达100mA;l 利用功率电路可以方便地驱动1 英寸以上的大型数码管;l 具有左移、右移、闪

41、烁、消隐、段点亮等强大功能;l 要显示的数据提供有两种不同的译码方式(也可以选择不译码);l 不接数码管而仅使用键盘管理功能时,工作电流可降至3mA;l 与微控制器之间采用SPI 串行总线接口,操作方便,占用I/O 资源少;l 工作温度范围:4085;l 封装:SOP-28,DIP-28。(3) ZLG7289B管脚介绍ZLG7289管脚图如图3.8.1所示图3.8.1 ZLG7289管脚图引脚名称及功能描述RTCC:接电源Vcc:电源,2.76VNC:悬空GND:接地NC:悬空CS SPI:总线片选信号,低电平有效CLK SPI:总线时钟输入信号,上升沿有效DIO SPI:总线数据信号,双向

42、INT:键盘中断请求信号,低电平(下降沿)有效SG/KR0:数码管g 段键盘行信号0SF/KR1:数码管f 段键盘行信号1SE/KR2:数码管e 段键盘行信号2SD/KR3:数码管d 段键盘行信号3SC/KR4:数码管c 段键盘行信号4SB/KR5:数码管b 段键盘行信号5SA/KR6:数码管a 段键盘行信号6DP/KR7:数码管dp 段键盘行信号7DIG0/KC0DIG7/KC7:数码管字选信号0键盘列信号0数码管字选信号7键盘列信号7OSC1/OSC2:晶振输入信号/晶振输出信号RST:复位信号,低电平有效3.8.2 ZLG7289B与其它部分连接图在本语音系统中只用到了ZLG7289的显

43、示和按键读取功能,它与单片机、数码管和按键的连接图如图3.8.2所示图3.8.2 ZLG7289B与其它器件连接图3.9 供电电路模块本设计使用的单片机及运放电路分别使用+5V、0V和+12V、-12V电压,选用LM7805三端1.5A正电源稳压电路实现+5V电压供给,选用LM7812提供+12V电压,选用LM7912提供-12V电压。因为本设计中所用的芯片较多,单片机、存储芯片,数码管驱动芯片,数模转换及模数转换芯片都需用+5V电压供电,所用所需电流较大,设计图中选用两片LM7805稳压管产生电流较大的+5V电压。(1)+5V电压产生电路+5V电压产生电路如图3.9.1所示:图3.9.1 +

44、5V电压产生电路(2)+12V及-12V电压产生电路+12V及-12V电压产生电路如图3.9.2所示图3.9.2 +12V及-12V电压产生电路3 软件设计为了充分利用单片机的片内资源和硬件特点,本系统的电路设计采用总线控制的方式实现对AD、DA、SRAM等器件的读写操作。由于ZLG7289采用的是SPI的接口,所以对它的操作需用软件来模拟SPI通信时序。采用总线控制方式最大的优点就是利用单片机自身硬件产生的读写时序实现对外部器件的操作,通过对外接器件进行统一编址,使得总线得以分时复用。经过此次学习,对比了以往软件模拟的编程方式和总线控制的方式,深深的体会到了总线控制方式的优越性。当然,简便归

45、简便,在编程调试过程中还是遇到了各种各样平常没有遇到的问题、情况、特殊现象;有些问题是我们平常认为很自然的不会发生的,也有些问题是我们平时根本就没有想到过的。总之,动手了肯定会有收获和认识。在实践中运用知识可以深化对知识的理解和认识,可以更好的巩固和应用所学知识。做事一定要敢于尝试,不能局限于用一种方法,有时候要善于“偷懒”充分利用片内资源。系统总体实现流程如图3所示:否 是 是 是 否 否 否 否 是 否 否 是 是 否 开始初始化I/O、ZLG7289通过串口数据上传至PC,数码管显示“F”,发完关闭显示通过串口接收PC发送的数据开始录音,数码管显示录音时间,录完显示“0”播放录制的或PC

46、传输的数据,数码管显示播放时间结束完成?完成?完成?完成?为S1?为S1?为S1?为S1?是否有按键按下?是 是 是 是 否 图3 程序流程图结论经过几个月的学习、思考、资料查阅、制作调试,终于完成了这个课题。调试结果和预期的吻合,不加压缩算法存储时间16s左右,加压缩算法压缩后存储时间达32s,且无明显失真。电路板改过后存储时间24s,回放无明显失真;数据可以通过串口上传和下载,即:录制的声音信息可以传到电脑上查看,也可以把电脑里声音信号的文件载入SRAM进行播放。实现了单片机和计算机之间的大容量数据交换,打破了只能模拟输入的局限。掌握单片机的应用开发需要一个过程。首先必须掌握数字电路和模拟

47、电路方面的知识,其次还必须学习单片机原理、硬件结构、扩展接口和编程语言。初次开发时由于没有经验,可能要经过多次反复才能完成项目。这时,会得到较大收获和积累,主要表现在硬件设计的积累,软件设计方面的积累和设计经验方面的积累。要热衷于学习和使用新技术,善于挑战自我。另外,还要细心和认真,因为硬件设计上的一个小疏忽往往会造成非常大的经济损失;只有细心和认真的检查,负责任的测试,不懈的学习和积累,才能使硬件设计水平持续不断的提高。致谢在课题完成之际,我首先要向指导老师李玲老师表示深深的感谢。在课题制作期间,我经历过无从下手的窘境,都是靠杨老师在百忙之中抽出时间来对我悉心指导,给我提出了各方面的指导意见

48、,给我提供各方面的重要资料,她是我学习工作进程中的中坚力量。感谢老师给了我一次锻炼的机会,同时也要感谢我的搭档郑路同学给于我的帮助。参考文献1杨刚.电子系统设计与实践.电子工业出版社,2009.3.2何立民.单片机应用系统设计.北京:航天航空大学出版社.3李广弟.单片机基础,北京:北京航空航天大学出版社,2001.4何希才.新型实用电子电路400例.电子工业出版社,2000.5张毅刚.新编MCS-51单片机应用设计.哈尔滨工业大学出版社,2003.6贾立新,王涌.电子系统设计与实践.北京:清华大学出版社,2007.7黄恩,魏炜,邹搜涛,等.数宇化语音存储与回放系统硬件设计.中国仪器仪表,200

49、3.8谭浩强.C程序设计.北京:清华大学出版社,1999.9李华.MCS 系列单片机实用接口技术.北京: 北京航空航天大学出版社,1993.10潘新民.单片机实用系统设计.北京: 人民邮电出版社,1992.11康华光.电子技术基础.北京:高等教育出版社,1999.12郭天祥.51单片机C语言教程.电子工业出版社,2009.13高海春,任开达,孔德峰.数字化语音存储与回放系统.华东船舶工业学院学报,2000.14程佩青.数字信号处理教程.北京:清华大学出版社,1995.15大江.电子元器件识别及检修实用技术.北京:中国戏剧出边社,2009.附录附录一 程序源代码/*/总体电路设计采用总线控制方式

50、/对外围器件的访问统一采用地址编码方式*/#include#include#include#include /包含绝对地址定义的头文件#define ADC XBYTE0x7FF0 /ADC 0通道地址#define ADC_CTRL 0xFD /ADC 控制信号#define DAC XBYTE0x6FFF /DAC 地址#define DAC_CTRL 0xFC /DAC 控制信号/RAM地址范围为 0x80000xffff (共4页)/ADC的地址范围为0x7ff00x7ff7 (共8个通道)/DAC的地址范围为 0x6fff (只要低2位为 ff 即可)static unsigned

51、int RAM_address; /RAM 地址变量,起始地址0x8000unsigned char count, m, n=1,key = 0xff;/显示字符编码unsigned char code zifu=0x7e,0x30,0x6d, 0x79,0x33,0x5b,0x5f,0x70,0x7f,0x7b,0x0e,0x47,0x00;/ZLG7289接口定义sbit ZLG7289CS = P15;sbit ZLG7289CLK = P16;sbit ZLG7289DIO = P17;sbit ZLG7289INT = P32;sbit ADCEOC = P33;void delay

52、_us(unsigned char n) /执行一次约为14usfor(;n-;n0) _nop_(); _nop_(); _nop_(); _nop_(); _nop_();void nNop(unsigned char i) /短延时for(;i0;i-) ;void LDelay(unsigned int i) /长延时unsigned int j;for(;i0;i-) for(j=1000;j0;j-);/函数功能:ZLG7289复位指令#define ZLG7289_Reset()ZLG7289_Instruc(0xa4)/函数:SPI_WriteOneByte()/功能:向SPI

53、总线写数据/说明:写入的数据长度为1字节void SPI_WriteOneByte(unsigned char Wdata)unsigned char i;for(i=0;i8;i+)ZLG7289DIO = (bit)(Wdata&0x80);ZLG7289CLK = 1;Wdata = 1;nNop(1);ZLG7289CLK = 0;nNop(1);/函数:SPI_ReadOneByte()/功能:从SPI总线读数据/说明:返回1字节数据unsigned char SPI_ReadOneByte()unsigned char i,temp;ZLG7289DIO = 1;/将I/O切换到输

54、入状态for(i=0;i8;i+)ZLG7289CLK = 1;nNop(5);temp = 1;if(ZLG7289DIO) temp+;ZLG7289CLK=0;nNop(5);return temp;/函数:ZLG7289_Instruc()/功能:执行ZLG7289纯指令/说明:指令长度为1字节void ZLG7289_Instruc(unsigned char Instruc)unsigned char i;i = IE;IE &= 0xfa;/禁止外部中断;ZLG7289CS = 0;nNop(5);SPI_WriteOneByte(Instruc);ZLG7289CS = 1;n

55、Nop(5);IE = i;/函数:ZLG7289_Instruc_Data/功能:执行ZLG7289带数据指令/说明:指令长度以及数据长度均为1字节void ZLG7289_Instruc_Data(unsigned char Instruc,unsigned char Data)unsigned char i;i = IE;IE &= 0xfa;ZLG7289CS = 0;nNop(5);SPI_WriteOneByte(Instruc);nNop(5);SPI_WriteOneByte(Data);ZLG7289CS = 1;nNop(5);IE = i;/函数:ZLG7289_Read

56、Key()/功能:执行ZLG7289读键盘指令/说明:返回1字节的按键号/按键号的范围为:0 63(即0x0 0x3f)/若没有按按键被按下了,返回255(即0xff)unsigned char ZLG7289_ReadKey()unsigned char Key;ZLG7289CS = 0;nNop(10);SPI_WriteOneByte(0x15);nNop(5);Key = SPI_ReadOneByte();nNop(1);ZLG7289CS = 1;nNop(5);return Key;/函数:ZLG7289_Download/功能:下载数据/说明:将要显示的数据下载到ZLG728

57、9内,并译码显示/参数:数码管编号x,要显示的数据datvoid ZLG7289_Download(unsigned char x,unsigned char dat)x |= 0x90; /以自己编码的方式进行译码 1001 0xxxZLG7289_Instruc_Data(x,dat);/ZLG7289初始化函数void ZLG7289_Init()LDelay(20); /延时一定时间,以使系统电源稳定/ZLG7289 I/O 口初始化ZLG7289CS = 1;ZLG7289CLK = 0;ZLG7289DIO = 1;ZLG7289INT = 1;void Record()/普通录音

58、函数unsigned char temp,page,page_ctrl; unsigned int i;for(page=0;page4;page+)/分4页存储switch(page)case 0:page_ctrl=0xF8;break;/RAM 第一页控制信号case 1:page_ctrl=0xF9;break;/RAM 第二页控制信号case 2:page_ctrl=0xFA;break;/RAM 第三页控制信号case 3:page_ctrl=0xFB;break;/RAM 第四页控制信号default:break;RAM_address=0x8000;/RAM地址复位for(i=0;i= 18) count = 0;if(m = 10)m = 0;ZLG7289_Download(1,zifun);n += 1;if(n=10)n=1;ZLG7289_Download(0,zifum);m += 1;P1=ADC_CTRL;/ADC控制有效ADC=0x00;/启动通道0的ADC转换delay_us(7);/等待转换结束11.0592MHz 7temp = ADC;/读ADC的值P1=page_ctrl;/RAM分页控制有效XBYTERAM_address+ = temp;delay_us(12);m = 0;n =

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!