2014年PLD习题集(含参考答案)数字系统设计

上传人:ya****h 文档编号:143815830 上传时间:2022-08-26 格式:DOCX 页数:12 大小:95.42KB
收藏 版权申诉 举报 下载
2014年PLD习题集(含参考答案)数字系统设计_第1页
第1页 / 共12页
2014年PLD习题集(含参考答案)数字系统设计_第2页
第2页 / 共12页
2014年PLD习题集(含参考答案)数字系统设计_第3页
第3页 / 共12页
资源描述:

《2014年PLD习题集(含参考答案)数字系统设计》由会员分享,可在线阅读,更多相关《2014年PLD习题集(含参考答案)数字系统设计(12页珍藏版)》请在装配图网上搜索。

1、第1章习题1.1名词解释PROMCPLDFPGAASICJTAG边界扫描FPGA/CPLD编程与配置逻辑综合PALEDAGALIP-COREISPASICRTLFPGASOPCCPLDIP-CORESOC和SOPCEDA/CAD1.2现代EDA技术的特点有哪些?采用HDL描述、自顶向下、开放标准、具有完备设计库1.3什么是Top-down设计方式?(P4)1.4数字系统的实现方式有哪些?各有什么优缺点?74LS系列/4000系列常规逻辑门设计:设计难度大、调试复杂采用CPLD/FPGA等可编程器件来设计:用HDL描述、设计难度小、调试仿真方便,开发费用低,但单位成本较髙,适合小批量应用专用集成

2、电路设计:设计掩模成本髙,适合大批量应用1.5什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?(P5)IP可重复使用的一种功能设计,可节省设计时间、缩短开发周期,避免重复劳动为大规模SOC设计提供开发基础、和开发平台。1.6用硬件描述语言设计数字电路有什么优势?优势:可进行行为级、RTL级、门级多层面对电路进行描述、可功能仿真时序分析,与工艺无关。1.8基于FPGA/CPLD的数字系统设计流程包括哪些步骤?(P8图1.7)1.9什么是综合?常用的综合工具有哪些?HDLRTL门级网表的描述转换过程ALTERA:MAX-PLUSII,Quartus,Xilinx:ISE,Lattic

3、e:ispLERVER1.10功能仿真与时序仿真有什么区别?功能仿真不考虑器件延时,而时序分析必须考虑在不同器件中的物理信号的延时1.11数字逻辑设计描述分哪几个层级,各有什么特点。1.12、为何任意组合逻辑电路可用通用的与阵列、或阵列组合来实现。可表示为布尔代数方程,由乘积项的和表示1.13 FPGA与CPLD在实现方式或部结构上的主要区别查表、与或阵列1.14 VerilogHDL与计算机程序设计语言主要区别(描述并行电路行为或结构、描述的串行指令流)1.15简述“逻辑综合”功能作用。1.16数字系统描述有哪些层级,各有什么特点,用硬件描述语言设计数字电路有什么优势?第2章习题2.1从器件

4、的或阵列编程结构角度看,PROM、PLA、PAL、GAL在结构上有什么区别?器件与阵列麟列输出电路PROM固定PLA可31程可媲固定PAL-砒程固定国定GAL可编程Ef定2.2说明PAL、GAL的输出单元有何特点,它怎样实现可编程组合电路和时序电路?PAL、GAL输出单元都有一个触发器,实现组合逻辑时触发器被旁路掉,实现时序单路是则从触发器输出信号。2.3简述基于乘积项的可编程逻辑器件的结构特点?PAL、GAL是乘积项可编程,或阵列固定的PLD器件,送到或门的乘积项是固定的,大大简化了器件设计算法2.4基于查找表的可编程逻辑结构的原理是什么?P31二二A1A2A3A4输输输输查:m:.:i:.

5、51一鲁1文字解释2.5基于乘积项和基于查找表的结构各有什么优缺点?基于乘积项的适合用于设计一些逻辑型电路、电路规模较小而基于查找表结构适合设计数据型电路,电路规模较大,用于逻辑型电路设计会有延时不确定等问题。2.6 CPLD和FPGA在结构上有什么明显的区别,各有什么特点?CPLD是基于乘积项可编程的,适合用于设计一些逻辑型电路、电路规模较小FPGA是基于查表的,适合设计数据型大规模系统2.7 FPGA器件中的存储器块有何作用?FPGA存储器用于存储每种逻辑输入对应的需要输出逻辑真值表。2.8简要说明JTAG边界扫描概念及作用。2.9简述FPGA配置、CPLD编程概念及其异同点。第3章习题3

6、-1基于QuartusII软件,用D触发器设计一个2分频电路,并做波形仿真,在此基础上,设计一个4分频和8分频电路,做波形仿真。3-2基于QuartusII软件,用7490设计一个能计时(12小时)、计分(60分)和计秒(60秒)的简单数字钟电路。设计过程如下:(1)先用QuartusII的原理图输入方式,用7490连接成包含进位输出的模60的计数器,并进行仿真,如果功能正确,则将其生成一个部件;(2)将7490连接成模12的计数器,进行仿真,如果功能正确,也将其生成一个部件;(3)将以上两个部件连接成为简单的数字钟电路,能计时、计分和计秒,计满12小时后系统清0重新开始计时。(4)在实现上述

7、功能的基础上可以进一步增加其它功能,比如校时功能,能随意调整小时、分钟信号,增加整点报时功能等。3-3基于QuartusII软件,用74161设计一个模99的计数器,个位和十位都采用8421BCD码的编码方式设计,分别用置0和置1两种方法实现,完成原理图设计输入、编译、仿真和下载整个过程。3-4基于QuartusII软件,用7490设计一个模71计数器,个位和十位都采用8421BCD码的编码方式设计,完成原理图设计输入、编译、仿真和下载整个过程。3-5基于QuartusII,用74283(4位二进制全加器)设计实现一个8位全加器,并进行综合和仿真,查看综合结果和仿真结果3-6基于Quartus

8、II,用74194(4位双向移位寄存器)设计一个“00011101”序列产生器电路,进行编译和仿真,查看仿真结果。3-7基于QuartusII软件,用D触发器和适当的门电路实现一个输出长度为15的m序列产生器,进行编译和仿真,查看仿真结果。第4章习题4.1用Verilog设计一个8位加法器,并进行综合和仿真,查看综合结果和仿真结果。4.2用Verilog设计一个8位计数器,并进行综合和仿真,查看综合结果和仿真结果。第5章习题5.1 下列标识符哪些是合法的,哪些是错误的?Cout,8sum,a*b,_data,wait,initial,$latch5.2 下列数字的表示是否正确?6d18,Bx0

9、,5b0x110,da30,10d2,hzF5.3 reg型与wire型变量有什么本质区别5.4 wire型变量没有驱动其值为多少5.5 reg型初始值一般为多少5.6 定义如下的变量和常量:(1) 定义一个名为count的整数;integercount;(2) 定义一个名为ABUS的8位wire总线;wire7:0ABUS;(3) 定义一个名为address的16位reg型变量,并将该变量的值赋为十进制数12®15:0address;address=16d128;(4) 定义参数Delay_time,参数值为¶meterDelay_time=8;(5) 定义一个名为DELAY的

10、时间变量;integerDELAY;(6) 定义一个32位的寄存器MYREG;reg31:0MYREG;(7) 定义一个容量为128,字长为32位的存储器MYMEM;reg32-1:0MYREG128;5.8 .举例列出Verilog整数(Integer)2进制、8进制、16进制常量。P1235.9 net型数据类型有哪些?wiretri5.10给出reg型、和integer型变量Variable定义示例程序(p126)5.11Verilog向量与标量的定义wirea;wire3:0b;第6章习题6.1试编写求补码的Verilog程序,输入是带符号的8位二进制数。modulenegCode(o

11、ut,in);outputreg7:0out;reg7:0a;inputwire7:0in;integeri;always(in0orin1orin2orin3orin4orin5orin6orin7)beginif(in7)beginfor(i=0;i7;i+)ai=ini;a7=in7;out=a+1;endelseout=in;endendmodule6.2试编写两个四位二进制数相减的Verilog程序(参考P175,例7.18)6.3有一个比较电路,当输入的一位8421BCD码大于4时,输出为1,否则为0。试编写出Verilog程序(参考P147,例6.13)6.4用CASE语句试编写

12、一个表决电路,同意为输入1,不同意输入0,同意过半数(=5)表决通过亮绿灯,不通过亮红灯。(P149,例6.16)6.5简述wire变量基本语法定义及用途(第6章)6.6 简述阻塞性赋值与非阻塞型赋值的区别(P163、6.8节)6.7解释说明下列代码中的always、case语句的语法及所描述行为(P138例6.1)modulemux4_1(out,in0,in1,in2,in3,sel);outputout;inputin0,in1,in2,in3;input1:0sel;regout;always(in0orin1orin2orin3orsel)/敏感信号列表case(sel)2b00:o

13、ut=in0;2b01:out=in1;2b10:out=in2;2b11:out=in3;default:out=2bx;endcaseendmodule6.8解释说明下列代码中的posedge和negedge关键字的作用和基本功能。modulecount(out,data,load,reset,clk);/8位同步置数、同步清零的计数器模块output7:0out;input7:0data;inputload,clk,reset;reg7:0out;always(posedgeelk)/elk上升沿触发beginif(!reset)out=8h00;/同步清0,低电平有效elseif(lo

14、ad)out=data;/同步预置elseout=out+1;/计数endendmodule6.9用波形图和文字解释说明下列两段代码中的赋值语句行为的细微差别(参考P163,例6.33、6.34)非阻塞赋值modulenon_bloek(e,b,a,elk);outpute,b;inputelk,a;rege,b;always(posedgeelk)beginb=a;cd;endendmodule8.13用always行为语句和if-else语句描述下图所示数据选择器(参考P146条件语句)8.14用CASE语句试编写一个表决电路,同意为输入1,不同意输入0,同意过半数(=5)表决通过亮绿灯,

15、不通过亮红灯(参考P148,CASE语句)8.15设计实现一个8位串行移位寄存器的电路,含并行输出端(参考D触发器代码,多触发器级联)8.16设计下图所示多位加法器的功能代码(参考习题8.22、8.23)a0b0a1b1a2b2a3b3sum0sum1sum2sum38.17设计一个8位带同步置位和清0的同步计数器(参考P148例6.15)8.18always、case语句设计一个4选1多路选择器(参考实验)8.19设计一个3-8译码器(参考7段码)8.20设计一个4-16译码器(参考7段码)8.22设计一个4位BCD码输入的7段数码管显示的译码电路(参考实验)8.23 设计一个带同步清0的8

16、位同步计数器。8.24 用CASE语句试编写3-8译码器,即3位地址输入,8条地址译码选通线输出。8.25用VerlogHDL设计一个带同步清0输入控制端的8位同步计数器,并画出仿真波形图。8.26.用HDL语言设计一个8比特寄存器,含8位数据输入、8位锁存输出、一个输出允许端,一个数据写入锁存端。8.27用CASE语句设计一个4位BCD码输入的7段数码管显示的译码电路,真值表所描述BCD码转换为七段数码的电路。序号(数码)输入(A3A1)输出(Y6Y0)000000111111100010000110810001111111910011100111Y0Y5Y6Y4Y3Y1Y2其他补充练习题填

17、空1. 写出数值为11011011b的二进制Verilog整数常量表达式:2. 用Verilog定义一标量a:。3. 定义一个容量为128,字长为32位的存储器MYMEM:。4. 写出数值为110011b的二进制Verilog整数常量表达式:。5. 可编程器件分为.和。6. 可编程器件分为.和7把变量a值菲阻塞性赋值给变量b语句为:。8把变量a值阻塞性赋值给变量b语句为:。9若某一时序电路由时钟elk信号上升沿触发,同步髙电平复位信号rst清零,该电路描述中always的事件表达是应该写为always()。10. 可编程器件分为.和11. 定义参数Delay_time,参数值为8:。12. 定

18、义一个32位的寄存器MYREG:。13. 定义一个容量为128,字长为32位的存储器MYMEM:。14. 用EDA技术进行电子系统设计的目标是最终完成的设计与实现。15. 早期电子系统设计多基于通用的中小规模集成电路元件库,设计方法多采用自底向上的设计方法,而随着EDA技术的不断完善与成熟,的设计方法更多的被应用。16若某一时序电路由时钟elk信号上升沿触发,同步髙电平复位信号rst清零,该电路描述中always的事件表达是应该写为always()。17. 定义一个名为address的16位reg型变量,并将该变量的值赋为十进制数128:。18. 定义一个名为DELAY的时间变量:。19. 用

19、Verilog定义一位宽为4的向量b:。20. 早期电子系统设计多基于通用的中小规模集成电路元件库,设计方法多采用自底向上的设计方法,而随着EDA技术的不断完善与成熟,的设计方法更多的被应用。选择1下列标识符中,是合法,是错误的?ACoutB8sumCa*bD_dataEwait,FinitialG$lateh2下列数字的表达式中,是正确的,是错误的?A6d18BBx0C5b0x110,Dda30,E10d2,FhzF3. 下列标识符中,是不合法的标识符。A9moonBState0CNot_Aek_0Dsignall4. reg7:0mema255:0正确的赋值是(A)A、mema5=3d0,

20、B、8d0;C、1b1;D、mema53:0=4d15. “a=4b11001,b=4bx110选出正确的运算结果(B)A、a&b=0B、a&b=1C、b&a=xD、b&a=x6. awaysbegin#5elk=0;#10clk=clk;end产生的波形(A)A、占空比1/3B、elk=1C、elk=0D、周期为107. 下列标示符哪些是合法的(B)A、$timeB、_dateC、8sumD、mux#8. 现网中的值被解释为无符号数。在连续赋值语句中,assignaddr3:0=-3;addr被赋予的值是(A)A、4b1101B、4b0011C、4bxx11D、4bzz119. 若a=9,执

21、行$display(“currentvalue=%0b,a=%0d”,a,a)正确显示为(B)A、eurrentvalue=1001,a=09B、eurrentvale=1001,a=9C、1001,9D、currentvale=00001001,a=910. reg7:0mema255:0正确的赋值是(A)A、mema5=3d0,B、8d0;C、1b1;D、mema53:0=4d111. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入一综合一(_)一适配一编程下载一硬件测试。A功能仿真B时序仿真C逻辑综合D配置E分配管脚12. 下列语句中,不属于并行语句的是:A.过程语

22、句B.assign语句C.元件例化语句D.case语句13. 在Verilog中定义了宏名definesuma+b+c下面宏名引用正确的是(C)A、out二sum+d;B、out二sum+d;C、out二sum+d;D、都正确14. 如果线网类型变量说明后未赋值,起缺省值是(D)A、xB、1C、0D、z15. 如果线网类型变量说明后未赋值,起缺省值是(DA、xB、1C、0D、z16. 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是()。A. FPGA全称为复杂可编程逻辑器件B. FPGA是基于乘积项结构的可编程逻辑器件C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构17. 下列语句中,不属于并行语句的是:A.过程语句B.assign语句C.元件例化语句D.case语句18. 下列标识符中,是不合法的标识符。A.9moonB.State0C.Not_Ack_0D.signall19下列标识符中,是合法,是错误的?ACoutB8sumCa*bD_dataEwait,FinitialG$latch20.下列数字的表达式中,是正确的,是错误的?A6d18BBx0C5b0x110,Dda30,E10d2,FhzF

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!