毕业设计论文基于AT89C52单片机的数控直流电压源设计

上传人:沈*** 文档编号:138157207 上传时间:2022-08-19 格式:DOC 页数:33 大小:2MB
收藏 版权申诉 举报 下载
毕业设计论文基于AT89C52单片机的数控直流电压源设计_第1页
第1页 / 共33页
毕业设计论文基于AT89C52单片机的数控直流电压源设计_第2页
第2页 / 共33页
毕业设计论文基于AT89C52单片机的数控直流电压源设计_第3页
第3页 / 共33页
资源描述:

《毕业设计论文基于AT89C52单片机的数控直流电压源设计》由会员分享,可在线阅读,更多相关《毕业设计论文基于AT89C52单片机的数控直流电压源设计(33页珍藏版)》请在装配图网上搜索。

1、目录第一章 序言11.1研究目的及研究意义11.2 国内外研究现状21.3 本课题研究内容及方法3第二章 数控直流电压源设计方案42.1 原理介绍42.2 设计方案优势42.3 数控直流电压源所用元器件52.3.1 单片机AT89C52芯片52.3.2 数模转换器DAC083262.3.3 集成运放UA74172.3.4 电压稳压器LM3508第三章 数控直流电压源硬件电路的设计103.1 D/A转换电路的工作原理103.2 电压调整电路的工作原理113.3 时钟振荡电路的工作原理123.4 电压预置复位电路的工作原理123.5 键盘电路的工作原理133.5.1 键盘电路的分类133.5.2

2、键盘电路的工作原理143.6 显示电路的工作原理143.6.1 LED显示器连接方法143.6.2 LED显示方式153.7 电源电路工作原理15第四章 数控直流电压源软件系统的设计174.1 软件设计原理174.2 显示子程序流程图194.3 键扫子程序流程图20结 论21参考文献22致谢22ABSTRACT23附录24附录1 设计硬件电路图24附录2 软件部分源程序252.1 主程序源程序清单252.2 显示子程序的源程序清单262.3 键扫子程序源程序清单282.4 延时及启动0832子程序的源程序清单29附录3 数控直流电压源设计实物图30数控直流电压源设计摘要:本设计是以AT89C5

3、2单片机为核心控制芯片,实现数控直流电源功能的方案。设计采用8位精度的DA转换器DAC0832、三端可调稳压器LM350和UA741运算放大器构成稳压源,实现了输出电压范围为1.4V+9.9V,电压步进0.1V的数控稳压电源,具有较高的精度与稳定性。另外该方案采用了两个按键实现输出电压的方便设定,具有微调整功能,显示部分我们采用了LED数码管来动态显示输出电压值。我们自行设计了15V和5V电源为系统供电。该电路的原理是通过MCU控制DA的输出电压大小,通过放大器放大,放大后的电压作为LM350的参考电压,真正的电压还是由电压模块LM350输出。利用两个按钮调整电压、并且通过共阴极三位一体LED

4、显示输出的电压值。设计使用3三位一体数码管,可以显示三位数,一个小数位,比如可以显示5.90V,采用动态扫描驱动方式。与传统的稳压电源相比具有操作方便,电源稳定性高以及其输出电压大小采用数码显示的特点。关键词:数控;步进;动态显示;三端可调稳压器第一章 序言1.1研究目的及研究意义稳压电源按输出电压的类型分为直流稳压电源和交流稳压电源。其中直流稳压电源是电子技术常用的设备之一,直流稳压电源有许多基本功能和要求,例如输出电压值能够在额定输出电压值以下任意设定和正常工作;对输出的电压值要求精确的显示和识别。而普通的直流稳压电源或多或少存在这样或那样的问题,它们的电压输出是通过波段开关和电位器来控制

5、的,当输出电压需要精确输出,或者在一个小范围内微调时,困难相对来说就很大;而且,随着使用时间的增加,模拟电路元器件在使用过程中难免发生磨损,波段开关与电位器均会或多或少产生接触不良现象,这会造成电压输出的误差。另外,传统的串联型稳压电路构成较为复杂,稳压精度不高。总体来说,传统稳压电源实现方式亟待改进。现当代社会是信息技术不断发展的社会,模拟技术逐渐被更为优越方便的数字技术取代,大规模的社会化生产也要求更高的技术和效率。众多家用电器以及各类电子电气设备均需要直流稳压电源对其进行供电。而我们生活中用电均为220v的交流供电,这就需要通过变压、整流、滤波、稳压电路将交流电转换成稳定的直流电。滤波器

6、用于滤除整流输出电压中的纹波,一般传统电路由滤波扼流圈和电容器组成,若由晶体管滤波器来替代,则可缩小直流电源的体积,减轻其重量,且晶体管滤波直流电源不需直流稳压器就能用作家用电器的电源,这既降低了家用电器的成本,又缩小了其体积,使家用电器小型化。基于单片机控制的数控直流电压源可以克服模拟稳压电源构成复杂,元器件磨损严重,稳压精度不高,读数不方便等缺点,更稳定更直观的完成模拟稳压电源的任务。而且成本小,经济实惠,便于在大规模的社会生产中采用。所以,对于数控直流电压源的研究与设计步进是技术上的革新,而且有实际的经济性,可以提高生产效率,是现代工业生产应用中的不二选择。1.2 国内外研究现状电源技术

7、尤其是数控电源技术是一门实践性很强的工程技术,服务于各行各业。电力电子技术是电能的最佳应用技术之一。当今电源技术融合了电气、电子、系统集成、控制理论、材料等诸多学科领域。随着计算机和通讯技术发展而来的现代信息技术革命,给电力电子技术提供了广阔的发展前景,同时也给电源提出了更高的要求。随着数控电源在电子装置中的普遍使用,普通电源在工作时产生误差,会影响整个系统的精确度。电源在使用时会造成很多不良后果,世界各国纷纷对电源产品提出了不同要求并制定了一系列的产品精度标准。只有满足产品标准,才能够进入市场。随着经济全球化的发展,满足国际标准的产品才能获得进出的通行证。数控电源是从80年代才真正发展起来的

8、,期间系统的电力电子理论开始建立。这些理论为其后来的发展提供了一个良好的基础。在以后的一段时间里,数控电源技术有了长足的发展。但其产品存在数控程度达不到要求、分辨率不高、功率密度比较低、可靠性较差的缺点。因此数控电源主要的发展方向,是针对上述缺点不断加以改善。单片机技术及电压转换模块的出现为精确数控电源的发展提供了有利的条件。新的变换技术和控制理论的不断发展,各种类型专用的集成电路、数字信号处理器件的研制应用,到90年代,已经出现了数控精度达到0.05V的数控电源,功率密度达到每立方英寸50W的数控电源。而且,从上世纪九十年代末起,随着对系统更高效率和更低功耗的需求,电信与数据通信设备的技术更

9、新推动电源行业中的直流电源转换器向更高灵活性和智能化方向发展。在八十年代的第一代分布式供电系统开始转向到二十世纪末更为先进的第四代分布式供电结构以及中间母线结构,直流电源行业正面临着新的挑战,即如何在现有系统加入嵌入式电源智能系统和数字控制。早在九十年代,半导体生产商们就开发出了数控电源管理技术,而在当时,这种方案的性价比与当时广泛使用的模拟控制方案相比处于劣势,因而无法被广泛采用。随着时间的推移,由于板载电源管理的更广泛应用和行业能源节约和运行最优化的关注,电源行业和半导体生产商们便开始共同开发这种名为“数控电源”的新产品。现今随着直流电源技术的飞跃发展,整流系统由以前的分立元件和集成电路控

10、制发展为微机控制,从而使直流电源智能化,具有遥测、遥信、遥控的三遥功能,基本实现了直流电源的无人值守,自动运行7。随着人们生活水平的不断提高,数字化控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数控制直流稳压电源就是一个很好的典型例子。但人们对它的要求也越来越高,要为现代人工作、科研、生活提供更好更方便的设施,就需要从数字电子技术入手,一切向数字化和智能化方向发展。1.3 本课题研究内容及方法随着时代的发展,数字电子技术已经普及到我们生活、工作、科研等各个领域,本文将设计一种数控直流电源,本电源由电源电路、显示电路、控制电路、数模转换电路,电压调整电路五部分组成,含有单片

11、机系统、键盘、数码管显示器、D/A转换电路、电压反相放大电路,稳压电路等几部分。单片机系统选用AT89C51型号单片机,独立式键盘,采用DAC0832输出模拟量,UA741作反相放大器件,LM350作稳压器件。电源电路负责提供各个芯片电源、数码管、放大器所需电压;显示电路用于显示电源输出电压的大小,并直观的显示按键电路对电压的调整;控制电路在本设计中居于中心大脑地位,负责数据的处理与传送,将数字信号传递给数模转换电路,将键盘电路的调整输出给数模转换电路和显示电路;数模转换电路负责将数字信号转变为模拟电压信号并输出给电压调整电路;电压调整电路负责将数模转换电路输出的电压信号倒相放大传送给稳压电路

12、;整个系统的最后一步稳压电路,负责把最后形成的直流电压信号输出。该数控直流稳压电源与传统的稳压电源相比具有直观,操作方便,电源稳定性高以及输出电压大小可精细调节等特点。本数控直流电压源,可以达到每步电压调整0.1V的精度,输出电压范围1.4V至9.9V,电流可以达到3A。针对以上问题,本课题设计了一种以单片机为核心的数控式高精度简易直流电源的设计,该电源采用数字调节、闭环实时监控、输出精度高,特别适用于各种有较高精度要求的场合。其设计方法是由单片机通过D/A,控制驱动模块输出一个稳定电压,同时稳压方法采用三端可调稳压管进行调整,输出电压通过电阻反馈给运放,与设定值进行比较,若有偏差则调整输出。

13、工作过程中,单片机输出驱动LED显示,通过键盘可设置和调整电压值。该电路具有设计简单,应用广泛,精度较高等特点。第二章 数控直流电压源设计方案2.1 原理介绍本设计采用以单片机作为控制元件来实现数控直流电压源的设计。本设计采用AT89C52芯片作为单片机的控制单元,以常用的DAC0832作为D/A转换单元,AT89C52芯片的P0口和DAC0832的数据口直接相连,DA的,接地,让DA工作在直通方式下。DA的8脚接参考电压,DA的参考电压接5V电源,所以在DAC的8脚输出电压的分辨率为5V/256约等于0.02V,也就是说DA输入数据端每增加1,电压增加0.02V。通过运放UA741将DA的输

14、出电流转化为电压,再通过运放UA741将电压反相并放大。最后经LM350调整输出电压并稳压。其具体硬件框图如图2.1所示: 输出89C51单 片 机电源电路 电压预置 键盘电路电压调整D/A转换显示电路图2.1 数控直流电压源硬件框图2.2 设计方案优势89C52单片机作为一个整体,完成整个数控部分的功能。 89C52作为一个智能化的可编程器件,便于系统功能的扩展。方案中使用运算放大器放大电压,由于运算放大器具有很大的电源电压抑制化,可以大大减少输出端的纹波电压,避免了线性调压电源整流滤波后的纹波对输出的影响。该方案中采用三位数码管直接对电压值进行显示,可以直观明了的反映电压值以及其对按键所作

15、出的电压变化。根据上述数控直流电压源硬件框图2.1,我们选用以下芯片来实现该数控直流电压源的硬件电路接线:AT89C52单片机,DAC0832数模转换器,集成运放UA741,电压稳压器LM350等。2.3 数控直流电压源所用元器件2.3.1 单片机AT89C52芯片AT89C52是一种带8K字节FLASH存储器的低电压、高性能CMOS 8位微处理器。它的特点是,拥有8K字节可编程FLASH存储器,256*8位内部RAM,三个16位定时器/计数器,8个中断源,拥有低功耗的闲置和掉电模式,以及片内震荡器和时钟电路。图2.2 AT89C51引脚图AT89C52的引脚如图2.2所示。AT89C52的引

16、脚功能如下1:VCC:供电电压。 GND:接地。 P0口:P0 口是一组8 位漏极开路型双向I/O 口, 也即地址/数据总线复用口。作为输出口用时,每位能吸收电流的方式驱动8 个TTL逻辑门电路,对端口P0 写“1”时,可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8 位)和数据总线复用,在访问期间激活内部上拉电阻。 在Flash 编程时,P0 口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。 P1口:P1 是一个带内部上拉电阻的8 位双向I/O 口, P1 的输出缓冲级可驱动(吸收或输出电流)4 个TTL 逻辑门电路。对端口写“1”

17、,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。 P2口:P2 是一个带有内部上拉电阻的8 位双向I/O 口,P2 的输出缓冲级可驱动(吸收或输出电流)4 个TTL 逻辑 门电路。对端口P2 写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。在访问外部程序存储器或16 位地址的外部数据存储器(例如执行MOVX DPTR 指令)时,P2 口送出高8 位地址数据。在访问8 位地址的外部数据存储器(如执行MO

18、VX RI 指令)时,P2 口输出P2 锁存器的内容。 Flash 编程或校验时,P2亦接收高位地址和一些控制信号。P3口:P3 口是一组带有内部上拉电阻的8 位双向I/O 口。P3 口输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对P3 口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。此时,被外部拉低的P3 口将用上拉电阻输出电流(IIL)。P3口除了作为一般的I/O 口线外,更重要的用途是它的第二功能, P3口还接收一些用于Flash 闪速存储器编程和程序校验的控制信号。RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访

19、问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,

20、这两次有效的/PSEN信号将不出现。 EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。2.3.2 数模转换器DAC0832DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。它的特点有,分辨率为8位,电流稳定时间1us,可单缓冲、双缓冲或直接数字输入,需要+5V+15

21、V的电源供电。DAC0832是一种典型的8位转换器,内部为双缓冲寄存器即输入寄存器和DAC寄存器,、分别为该寄存器的写信号输出端,ILE为输入锁存使能端,高电平有效,为片选端,为传输控制端,它和共同控制DAC寄存器的工作状态。 DAC0832有两个接地端,一般情况下,这两个地端均并联接地。DAC0832的D/A转换电路为倒T型R-2R电阻网络,故有Iout1和Iout2两个电流输出端,根据不同的电路组成,该芯片可以有两种输出模式,一种为电流输出模式,这种模式基准电压加在VREF端,由Iout1,Iout2输出的电流经运算放大器相加后输出;另一种为电压输出模式,这种模式基准电压加在Iout1和I

22、out2之间,模拟电压加从VREF端输出。本设计采用电流输出模式,再使输出电流通过一个高输入阻抗的线性运算放大器实现相应的模拟信号电压输出。该D/A转换器有三种工作方式:直通方式,单缓冲工作方式,双缓冲工作方式。该电路采用直通方式,DA的、和接地,让DA工作在直通状态下1。数模转换器DAC0832结构图如图2.3所示。图2.3 DAC0832结构图2.3.3 集成运放UA741UA741是一款集成运算放大器。集成运算放大器是一种高增益多级直接耦合放大器,其各部分的作用如图2.4所示2:差动输入级中间放大级输 出 级偏置电路图2.4 集成运放UA741组成框图(1)差动输入级 使运放有尽可能高的

23、输入阻抗及共模抑制比。(2)中间放大级 由多级直接耦合放大器组成,以获得足够高的电压增益。(3)输出级 可使运放具有一定幅度的输出电压、输出电流和尽可能小的输出电阻。在输出过载时有自动保护作用以免损坏集成块。输出级一般为互补对称推挽电路。(4)偏置电路 为各级提供合适的静态工作点。为使工作点稳定,一般采用恒流源偏置电路。 在本设计中用到的UA741共有两个基本作用:放大电压和反相作用。其引脚图如图2.5所示: 图2.5 UA741引脚图2.3.4 电压稳压器LM350LM350是可调节3端正电压稳压器,在输出范围为 1.2伏到33伏时能够提供超过3安的电流。此稳压器非常易于使用,只需要两个外部

24、电阻来设置输出电压。此外还使用内部限流、热判断和安全工作区补偿使之基本能防止烧断保险丝。 LM350服务于多种应用场合,包括局部稳压、卡上稳压。该器件还可以用来制做一种可编程的输出稳压器,或者,通过在调整点和输出之间接一个电阻,LM350可用作一个精密稳流器。它的主要特点有6, 输出电流超过3安 输出电压在1.2伏和33伏之间可调节 内部热过载保护 不随温度变化的内部短路电流限制 输出晶体管安全工作区补偿 对高压应用孚空工作 标准3引脚晶体管封装 避免置备多种电压图2.6 LM350基本工作原理电路图LM350 是三端浮动稳压器,其基本电路工作原理如图2.6所示。工作时,LM350建立并保持输

25、出与调节端之间的电压Iadj,这一参考电压由R1转换成编程电流,该恒定电流经R2到地。其稳压输出电压由式2.1给出: (2.1)其中Vref为R1两端电压。因为调节端的电流在式中代表误差项,所以LM350设计成控制Iadj小于100微安并使这之保持恒定。为达到这一点,所有静态工作电流都返回到输出端。这样就需要最小负载电流表。如果负载电流小于最小值,输出电压会上升。因为LM350是浮动稳压器,所以只有电路两端电压差对性能是重要的,工作对地呈高电压也就成为可能。LM350还能提供极良好的负载调整率,但为实现最优性能需要注意几点。编程电阻R13应尽可能连接在与稳压器靠近处,以使与参考电压有效串联线路

26、压降最小,避免调整率变差。R2接地端可以回到靠近负载接地端处,以提供远程接地取样并改进提高负载调整率。第三章 数控直流电压源硬件电路的设计根据数控直流电压源的硬件框图,我们把该设计的硬件电路分为以下六个部分并分别概述其原理。这六个部分分别是:D/A转换电路,电压调整(反相放大及稳压输出)电路,时钟振荡电路,电压预置复位电路,键盘电路,显示电路。3.1 D/A转换电路的工作原理本设计是采用DAC0832实现数据的数模转换,其数据口与单片机的P0口直接相连,DA的,和互相连接后接地,让DA工作在直通方式下。DA的8脚接参考电压,为简化设计,在本次设计中采用5V的参考电压,DAC的8脚输出电压的分辨

27、率为5V/256=0.01950.02V,也就是说DA输入数据端每增加1,就意味着电压输出增加0.02V。再在DA的电压输出端接运放UA741,将DA输出的模拟电流转换为电压。如此一来,我们只要改变单片机P0口的数据输出便可改变DAC0832的输出电压,设当P0口得输出数据为00H时,DAC0832的输出电压就为0V。其电路图如图3.1所示。图3.1 D/A转换电路工作原理图3.2 电压调整电路的工作原理本设计这一部分的工作原理为:将前一步电路输出的反相电压再接上一个UA741进行放大,此UA741采用反相接法,由于前一个UA741中输出的电压是负电压,所以该部分接上的UA741作为负反馈放大

28、电路对输出电压进行反相放大,再通过一个可调的滑动变阻器调节该运算放大器的电压放大倍数。接下来,该集成运放UA741的输出端通过电阻接到LM350的调整端,通过改变UA741的输出电压U即可控制LM350的输出电压,也就是数控电压源的最终输出电压值。 如图3.2所示,因为输出电压Vout=Vref*(1+R12/R13)+Iadj*R2,设节点A的电压为Ux,运放UA741的输出电压为U,则有Vout-Ux=Vref, 又有Ux=(Vout-U)/(R12+R13)*R12+U,由此可见,Vout与U之间存在线性关系,Vout随着U的变化而变化,改变电位器R6的阻值即可改变U的值,进而改变整个电

29、路的输出Vout。数值计算:(1)输出电压最小值Vmin的计算由LM350的输出电压公式可知Vmin=1.25(1+27/220)=1.4V(2)单片机送给0832的数值在设计时,要求单片机送给0832的数值为00H时,输出端输出的电压为1.4V,及单片机送给0832的数值为0FFH时,输出端输出的电压值为9.9V,所以每当电压增加0.1V时,单片机送给0832的数值就要增加3。所以在编程时,按一下步进按键,P0口的数据便要变化3。所以可以通过调节电位器来改变运放的放大倍数,使单片机送给0832的数值增加3时,输出电压就要增加0.1V。电压调整电路的工作电路图如图3.2所示。Vout口输出的即

30、是最终电压。图3.2 电压调整电路的工作原理图3.3 时钟振荡电路的工作原理单片机控制中心的各项工作都是在时钟信号的控制下协调工作的,单片机的时钟电路可为单片机提供一个时钟信号。单片机本身就如一个复杂的同步时序电路,为了保证同步工作方式的实现,电路应在唯一的时钟信号控制下严格地按时序进行工作。51单片机芯片内部就有一个用于构成振荡器的高增益反相放大器,其输入端为芯片引脚XTAL1,其输出端为引脚XTAL2。而在芯片的外部,XTAL1和XTAL2之间跨接晶体管振荡器和微调电容,从而构成一个稳定的自激振荡器。单片机时钟电路可分为内部时钟电路和外部时钟电路。只要在单片机的XTAL1和XTAL2引脚外

31、接晶体振荡器就构成了自激振荡器并在单片机内部产生时钟脉冲信号。电容器C1和C2的主要功能是协调振荡器频率及帮助振荡器起振,其电容值一般在20pF60pF,典型值为30pF。外部时钟方式是把外部已有的时钟信号引入到单片机内。此方式常用于多片单片机同时工作,以便于各单片机的同步。一般要求外部信号高电平的持续时间大于20s,且为频率低于12MHz的方波【1】。本设计采用内部时钟电路来确保整个电路的协调工作。电路图如图3.3所示:图3.3 时钟振荡电路的工作原理图3.4 电压预置复位电路的工作原理复位是单片机的一个重要工作方式。在单片机工作时,上电时首先要复位,发生故障后也要复位。复位操作有两种基本形

32、式:一种是上电复位,另一种是按键复位。按键复位即是,若要复位时,只要按图3.4中的KEY1键,电源VCC经电阻R4、R41分压,在RESET端产生一个复位高电平。在上电复位时,电路要求接通电源后,通过外部电容充电来实现单片机自动复位操作。上电瞬间RESET引脚获得高电平,随着电容的充电,RERST引脚的高电平将逐渐下降。RERST引脚的高电平只要能保持足够的时间(2个机器周期),单片机就可以进行复位操作。单片机复位期间不产生ALE和信号,即ALE=1和=1。这表明单片机复位期间不会有任何取指操作。复位后:PC值为0000H,表明复位后程序从0000H开始执行;SP值为07H值,表明堆栈底部在0

33、7H,需重新设置SP值;单片机在复位后,已使P0P3口每一端线为“1”,为这些端线用作输入口做好了准备【1】。具体的复位电路图如图3.4所示:图3.4 电压预置复位电路的工作原理图3.5 键盘电路的工作原理3.5.1 键盘电路的分类键盘接口通常包括硬件和软件两部分。硬件是指键盘的结构及其主机的连接方式;软件是指对键盘操作的识别与分析,即键盘管理程序。键盘一般是一组开关(按键)的集合。常用的按键有三种:机械触点式:利用金属的弹性使按键复位。导电像胶式:利用利用橡胶接弹性使按键复位。柔性按键:外形及面板布局等可按整机要求设计,在价格、寿命、防潮、防锈等方面显示出较强的优越性。键盘按其工作原理又可分

34、为编码式键盘和非编码式键盘。这两类键盘的主要区别是识别键符及给出相应键码的方法。编码键盘主要是用硬件来实现对键的识别;非编码键盘主要是由软件来实现键盘的定义与识别。非编码式键盘接照与主机连接方式的不同,可分独立式键盘和矩阵式键盘。(1)独立式键盘:独立式键盘中,每个按键占用一根I/O口线,每个按键电路相对独立。I/O口通过按键与地相连,I/O口有上拉电阻,无键按下时,引脚端为高电平,有键按下时,引脚电平被拉低。I/O口内部有上拉电阻时,外部可不接上拉电阻。(2)矩阵式键盘:行列式键盘采用行列电路结构,当按键较多时所占用的口线相对较少,键盘规模越大,其优点越明显。所以,当按键数目大于8时,一般采

35、用矩阵式键盘结构。本设计采用机械触电试键盘按非编码方式工作。3.5.2 键盘电路的工作原理当无键按下时,单片机的P1.0,P1.1口为高电平。当有键按下时,单片机的相应口线通过按键与地相连的电路被接通,单片机接口被拉成低电平,此时其它口线的电平状态不变。所以,通过检测P1.0,P1.1口的电平状态,即可判断键盘上哪个键被按下1。键盘电路的工作原理图如图3.5所示。图3.5 键盘电路的工作原理图3.6 显示电路的工作原理3.6.1 LED显示器连接方法LED显示器,是一种通过控制半导体发光二极管的显示方式,用来显示图像等各种信息的显示屏幕。本设计所用到的LED显示器由七个发光二极管组成,因此也称

36、之为七段LED显示器。另外,在本设计所用到的LED显示器中还有一个圆点型发光二极管(在图中以dp表示),作为小数点的显示使用。通过七段发光二极管的不同组合,可以显示多种数字、字母或者其他符号。LED显示器中的发光二极管共有两种连接方法。共阳极接法:把发光二极管的阳极连在一起构成公共阳极。使用时将公共阳极连接到+5V电源上。这样若阴极端输入低电平,则该发光二极管就导通点亮,若阴极端输入高电平,则不点亮。 共阴极接法:把发光二极管的阴极连在一起构成公共阴极。使用时公共阴极接地,这样若二极管的阳极端输入高电平,则该发光二极管就导通点亮,若阳极端输入低电平,则该发光二极管不点亮。本设计采用共阴极接法。

37、七段发光二极管,再加上一个小数点,共计8段。采用LED显示器。LED显示器由七个发光二极管组成,本设计采用共阴级接法。显示方式采用动态显示方式。原因在于:静态显示方式要求口线多,占用资源多,成本就高,而动态显示方式,电路简单、节省口线、成本低。3.6.2 LED显示方式LED的显示方式分为静态显示方式与动态显示方式。静态显示:所谓静态显示,就是当显示器显示某一字符时,相应段的发光二极管恒定地导通或截止,并且显示器的各位可同时显示。静态显示时,较小的驱动电流就能得到较高的显示亮度。LED显示器工作在静态显示方式下,共阴极点或共阳极点连接在一起接地;每位的段选(ADP)与一个8位并行口相连。动态显

38、示:所谓动态显示就是逐位地点亮显示器的各个位,对于显示器的每一位而言,每隔一段时间点亮一次显示器的亮度既与导通电流有关,也与点亮时间和间隔时间的比例有关。在多位LED显示时,为了简化电路、降低成本,将所有位的段选码并联在一起,由一个8位输入输出口控制,而共阴极点或共阳极点分别由相应的口线控制3。本次设计用到的是三位动态显示,动态显示是一位一位地轮流点亮各位数码管。在本次设计中所采用的是共阴极的三位一体的LED, 1、2、3分别为三个数码显示的位控引脚,其显示原理与单个LED的显示原理完全相同,其外观引脚如图3.6所示。图3.6 LED外观引脚图3.7 电源电路工作原理单片机、集成运放、稳压器以

39、及整个电路的正常工作都需要电源的供电支持。本系统采用自制电源供电,在此特设计了一个输出为正负15伏的电源。主要以7800系列(输出正电压)和7900系列(输出负电压)做成电源电路。线性电源由18V变压器经过全波整流,电容整流滤波,通过三端稳压管LM7815、LM7915稳压得到正负15伏电压为芯片AT89S51、DAC0832、UA741、LM350以及数码管等提供电源支持;通过三端稳压管LM7805得到正5伏电压作为参考电压和为稳压管提供电源。电源电路图如图3.7所示。图3.7 电源电路工作原理图第四章 数控直流电压源软件系统的设计4.1 软件设计原理本软件设计的关键是对直流电压源步进电压的

40、控制和显示。其中,当电源打开的时候,单片机控制单元AT89C52进行复位,寄存器清零,单片机送给DAC8032的数值为00H,输出电压为0V,此时经过两个运算放大器的倒相放大后,电压仍为0V,再经过稳压器LM350后,输出为Vout=1.25*(1+27/220)=1.4V。调整单片机输出数值到0FFH时,令输出电压变为9.9V。也即每当单片机数值增加3时,电压增加0.1V。所以,软件程序负责循环检测是否有按键信号,如果KEY2按下,则输出电压增加0.1V;如果KEY3按下,则输出电压减小0.1V;如果KEY1按下,则单片机复位,返回初始状态,单片机输出再次变为00H,输出电压再次变为1.4V

41、。主程序的工作是循环调用键扫子函数,根据键扫子函数的值判断有无按键按下。若无键按下,直接调用显示函数,显示当前的电压值。若KEY2键按下,令P0口的数据加3,令输出电压增加0.1V,然后调用显示子函数,显示当前电压值。若KEY2键按下,令P0口的数据减3,令输出电压减小0.1V,然后再次调用显示子函数,显示减小后的电压值。主程序流程图如图4.1所示。主程序源代码见附录2.1。开 始初 始 化显示初值送显示缓存调显示子程序 调延时子程序调键扫子程序是否有键按下KEY2键按下KEY3键按下调显示子程序 调延时子程序返 回电压减小0.1V 电压增加0.1VYYNYN图4.1 主程序流程图4.2 显示

42、子程序流程图本设计采用LED显示器动态显示方式显示电压数值,所以需要两组单片机接口,一组为P0口,一组为P2口,P2口负责接收段控码,P1口负责接收位控码。先将显示电压的整数位,小数点后第一位,小数点后第二位分别表示出来。再通过一个循环语句依次点亮三位LED显示器,使它们的值对应显示三位电压值。显示子程序流程图如图4.2所示。 显示子程序源代码见附录2.2。N 开 始 初 始 化暂存显示的电压值位控码送P1口修改段控码调延时子程序查表取段控码段控码送P2口 修改位控码三位扫描完返 回Y图4.2 显示子程序流程图4.3 键扫子程序流程图键扫子程序负责扫描P1口,屏蔽掉高四位数据后,得到P1口的处

43、理函数,即P1口低四位的反码。然后调键扫函,判断P1口的处理函数是否为零,经显示子程序延时去抖后,为零即无键按下,不为零即有键按下,然后返回键值给主函数。键扫子程序流程图如图4.3所示。键扫子程序源代码见附录2.3。开 始有键闭合调显示子程序延时去抖有键闭合键释放否保存键值结 束调显示子程序NYNYNY图4.3 键扫子程序流程图结 论本文完成了一个数控直流电压源的设计。此数控电压源输出电压值在1.4V9.9V之间,通过两个按键控制直流电压输出的增减。本设计实现步骤如下:采用AT89C52芯片作为单片机的控制单元,以常用的DAC0832作为D/A转换单元,让DA工作在直通方式下。DA的8脚接参考

44、电压,DA的参考电压接5V电源,所以在DAC的8脚输出电压的分辨率为5V/256约等于0.02V,也就是说DA输入数据端每增加1,电压增加0.02V。通过运放UA741将DA的输出电流转化为电压,再通过运放UA741将电压反相并放大。最后经LM350调整输出电压并稳压。软件部分设计为,控制按键每按下一次,单片机P0口输出数值增加3,DA输出电压增加0.06V,此时调整UA741放大系数,使电压调整电路最终输出电压增加0.1V。即控制按键每按下一次,输出电压增加0.1V。同时,主程序调用显示子程序,将电压值显示在LED显示屏上。本设计的具体功能是使输出电压值在1.4V9.9V之间。KEY1键用于

45、单片机控制单元复位,KEY2键用于电源步进加0.1V,KEY3键用于电源步进减0.1V。使用该多功能数控电压源操作简单。当上电时,电源会输出设置的1.4V电源,若在此时按下KEY2键,电压加0.1V,按KEY3键电压减0.1V,然后通过一个三位一体的LED显示器输出的电压值。不过本次设计中仍有不足之处,其中主要的不足之处没有达到0V的设计要求。其主要原因是设计条件不够,使得0832的基准电压只能为+5V,所以其只能输出负电压。而且LM350的输出端比输入端也至少高1.4V的电压,所以在本次设计中不能达到0V的电压,但如果在0832的其准电压上再加上一个-5V的基准电压便可达到输出0V的要求。在

46、本次设计的过程中,我发现很多的问题,给我的感觉就是很难,很不顺手,看似很简单的电路,要动手把它给设计出来,是很难的一件事,主要原因是我们没有经常动手设计过电路以及在设计过程中用到的知识我学得不是很扎实,还有资料的查找也是一大难题,这就要求我们在以后的学习和工作中,应该注意到这一点,更重要的是我们要学会把从书本中学到的知识和实际的电路联系起来,这不论是对我们以后的就业还是学习,都会起到很大的促进和帮助。同时,通过本次毕业设计,巩固了我们学习过的专业知识,也使我们把理论与实践从真正意义上相结合了起来;考验了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力;从中可以自我测验,认识到自己哪

47、方面有欠缺、不足,以便于在日后的工作中得以改进、提高;通过使用电路CAD 软件Protel99se,也让我们了解到计算机辅助设计(CAD)的智能化,有利于提高工作效率。参考文献1胡辉、单片机原理及应用设计、中国水利水电出版社、20052杨素行、模拟电子技术基础简明教程、高等教育出版社、20083余孟尝、数字电子技术基础简明教程、高等教育出版社、20074谭浩强、C程序设计、清华大学出版社、20075龚尚福、微机原理与接口技术、西安电子科技大学出版社、20066何希才 张明莉、新型稳压电源及其应用实例、电子工业出版社、20047裴云庆 杨旭 王兆安、开关稳压电源的设计和应用、机械工业出版社、20

48、10致谢本人在数控直流电压源的硬件电路设计及撰写论文期间,得到了很多老师和同学的帮助,在这里我首先要感谢的是我的导师=老师。在毕业设计的整个过程中,张老师在理论知识、工作任务、工作方向和进度安排等方面给了我大量的指导和帮助,使我的毕业设计能顺利进行,并按时完成预计任务。同时,我还要感谢大学四年里帮助我的各位老师,从他们身上,我不仅学到了许多实用的理论和实践知识,还学到了一丝不苟、严谨治学的科学态度。另外,在毕业论文写作的过程中,-等宿舍其他同学和我一起解决了很多遇到的难题,还有通信工程专业的其他同学也在各方面给了我很大的帮助,在这里,我对他们表示衷心的感谢,祝愿他们前程似锦,梦想成真!Desi

49、gn of a Numerical-controlled Direct Voltage SourceZhou Rui Communication Engineering Department,Nanjing University of Information Science & Technology ABSTRACTThe design is with the MCU AT89C52 for the core control chip, which carry out the project that the function of the number controls the direct

50、 current power supply. Designed with the precision of eight DA converter DAC0832, three-adjustable regulators LM350 and UA741 Operational Amplifiers constitute Regulators source, the output voltage range of +1.4 V +9.9 V, 0.1V voltage step NC Regulators Power, it has with high precision and stabilit

51、y. Meanwhile, the program used only two keys to achieve the convenience of the output voltage setting, with setting value adjustments. It has functions which can carry out micro-adjustment (Stepping volume 0.1). The show part we have adopted a three-dimensional digital pipe to show the output voltag

52、e value. And we designed the 15V and 5V power supply system for electricity. The principle of that electric circuit was that the output voltage size which passes the MCU to control DA, passing the amplifier amplification, and the voltage is the reference voltage of the LM350. And the real voltage is

53、 still the LM350 outputs are from the voltage mold piece. Making use of two buttons to adjustment voltages, and pass the Common anode LED display to display the outputs voltage .In this design I used 3 piece code tubes, which can show three position numbers, one of them is a fraction position. For e

54、xample, it can show a 5.90 Vs. In this design I adopt the scan to drive way is dynamic state sweep. With comparison of traditional steady press power, it has an operation convenience. The power supply stability high characteristics, its exportation electric voltage size adoption figures show.Key wor

55、d: Numerical Control;Stepping;Dynamic display;Three-adjustable regulators 附录附录1 设计硬件电路图附录2 软件部分源程序2.1 主程序源程序清单#include#include#include#include#define uchar unsigned char#define DAC0832 XBYTE0XEFFFfloat vol;sbit e=P16;sbit f=P15;sbit g=P14;uchar led3;uchar t=0; void put_on_leds (); /显示输出函数char code l

56、edm= 0x3F,/*0*/ 0x06,/*1*/ 0x5B,/*2*/ 0x4F,/*3*/ 0x66,/*4*/ 0x6D,/*5*/ 0x7D,/*6*/ 0x07,/*7*/ 0x7F,/*8*/ 0x6F,/*9*/ 0x80,/*.*/ ;/*主函数* 函数原型:main();* 功 能: 调整电压,调用put_on_leds()函数显示电压*/void main (void)uchar keyzhiii;vol=1.4; /输出5伏电压 put_on_leds(); /调显示函数 delay(100); /调延时函数 while(1) keyzhiii=key(); /调键扫

57、switch(keyzhiii) /按键功能控制 case 0: /没键按下,等待 put_on_leds(); /调显示函数 delay(10);break; /调延时函数 case 1: /KEY2键按下处理程序 t=t+3; /P0口数据加3 if(vol=9.9) vol=1.4; else vol=vol+0.1;/输出电压增加0.1V break; case 2: /KEY3键按下处理程序 t=t-3; /P0口数据减3 if(vol=1.4) vol=9.9; else vol=vol-0.1; /B键按下-0.1V break; put_on_leds(); /调显示函数 de

58、lay(10); /调延时 2.2 显示子程序的源程序清单/*/函数原型:put_on_leds ()功 能: 显示输出函数 /*/ void put_on_leds () uchar i; int k; k=vol*100; /电压值*100 led0=k%10 /取电压值小数点第二位 led1=k%100/10; /取电压值的小数第一位 led2=k/100; /取电压值的整数位 for(i=0;i3;i+) switch(i) case 0: / 扫描到第0位 P2=0; /P2口清零 P2=ledmledi;/ P2口送段码 g=1; f=0; e=0; /P1口送位码,选中第零位 delay(700); /调延时 break; case 1: / 扫描到第一位 P2=0; /P2口清零 g=0; f=

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!